您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 多路彩灯控制器8路移存型彩灯控制器

  2. 多路彩灯控制器设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟;
  3. 所属分类:专业指导

    • 发布日期:2009-07-13
    • 文件大小:410624
    • 提供者:coffin2
  1. 多路彩灯实验--(数据结构课程设计)

  2. 1.课程设计题目:多路彩灯控制器 2.任务和要求:设计一个至少8路移存型彩灯控制器,彩灯用发光二极管LED模拟,能演示三种花型,花型自拟。 3.总体方案的选择:首先应该有个脉冲端—控制所有芯片同时工作。然后,实现彩灯的花形变换需要双向移位寄存器。要更有规律的实现对双向移位寄存器的控制还需要计数器,非逻辑还有异或逻辑。 方案一:实现4种花形,用到的器件,555,161,7404,7486,194。设计心形。 优点:易于实现,元件少,花形多,工作很稳定,不容易出错。 缺点:布线复杂,检修麻烦。 原
  3. 所属分类:其它

    • 发布日期:2009-12-17
    • 文件大小:138240
    • 提供者:tonglin136
  1. 数字电路课程设计--多路彩灯控制器

  2. 本课程设计主要是运用各种集成器件通过各种连接方式完成多路彩灯的设计。 要求:1 实现至少三种花型。 2 彩灯的个数为8个。 设计多路彩灯的方案有很多种,当然设计方案的不同也就造成的设计难度的不同,在设计多路彩灯控制器之前我也在往上查到了一些实际方案供自己参考和学习,后来我通过自己的理解以及结合参考,选择了我自己认为比较合适的花型来做。 首先,需要脉冲信号,用555器件和电阻电容可以产生。 其次,确定好花型,运用161集成器件以及部分门电路来实现对所设计的花型进行控制。 最后,运用194集成器件
  3. 所属分类:专业指导

    • 发布日期:2009-12-17
    • 文件大小:257024
    • 提供者:tonglin136
  1. 数字电路课程设计报告书--多路彩灯控制器

  2. 设计一个至少8路的移存型彩灯控制器,彩灯用发光二极管LED 模拟。具体要求如下: (1)彩灯的明暗变换节拍为1.0s和0.5s,两种节拍交替运行; (2)能演示三种不同的花型,花型自拟 1.花型1 花型1是从左至右依次点亮,再从右至左依次渐灭。 2. 花型2 花型2是两组灯各自从左至右依次点亮,再从左至右依次渐灭。 3. 花型3 花型3是两组灯从中间至两边依次渐亮,再从中间至两边依次渐。
  3. 所属分类:专业指导

    • 发布日期:2009-12-17
    • 文件大小:155648
    • 提供者:tonglin136
  1. 数字电路课程设计—多路彩灯控制器

  2. 彩灯控制器是以高低电平来控制彩灯的亮与灭。如果一某种节拍按一定的规律改变彩灯的输入电平值,控制彩灯的亮与灭,即可按预定规律显示一定的花型。因此彩灯控制电路需要一个能够按一定规律输入不同高低电平编码发生器所要求的时序信号和控制信号。综上所述,彩灯控制器应由定时电路、控制电路、编码发生器电路以及驱动电路组成。 定时电路产生定时脉冲节拍,用以控制194和161芯片,使其产生花型,其中振荡器采用简单易行的555振荡器来实现。用2片194芯片分别产生三种不同花型,用2片161芯片控制三种不同花型之间的切
  3. 所属分类:嵌入式

    • 发布日期:2009-12-17
    • 文件大小:200704
    • 提供者:tonglin136
  1. 多路彩灯控制器完整版

  2. 本课程设计主要是运用各种集成器件通过各种连接方式完成多路彩灯的设计. 要求:1 实现至少三种花型. 2 彩灯的个数为8...当然设计方案的不同也就造成的设计难度的不同,在设计多路彩灯控制器之前我也在往上查到了一些实际方案供自己参考和学...
  3. 所属分类:专业指导

    • 发布日期:2009-12-30
    • 文件大小:1048576
    • 提供者:kongqingzhou123
  1. 多路彩灯控制器课程设计

  2. 多路彩灯控制器,没用图,自己去相图吧~~~~~~~~~
  3. 所属分类:专业指导

    • 发布日期:2010-01-06
    • 文件大小:71680
    • 提供者:lj520lj
  1. 课程设计多路彩灯控制器设计

  2. 一 、实验目的 1. 进一步掌握数字电路课程所学的理论知识。 2. 了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 3. 熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 4. 培养认真严谨的工作作风和实事求是的工作态度。 二 、设计题目与思路 本次数字电路课程设计我选择的题目是“多路彩灯控制器”。 这个系统的主要功能是: 1.自动控制多路彩灯按预设的花型进行变换; 2、花型种类不少于三种,花型自拟; 3.分别用快慢两种节拍实现花型变换。 4.选择:用可编
  3. 所属分类:嵌入式

    • 发布日期:2010-09-02
    • 文件大小:156672
    • 提供者:chengchenxiang
  1. 多路彩灯控制器VHDL设计.txt

  2. 多路彩灯控制器VHDL设计.txt多路彩灯控制器VHDL设计.txt
  3. 所属分类:其它

    • 发布日期:2010-09-11
    • 文件大小:4096
    • 提供者:opp563
  1. 多路彩灯控制器的课程设计

  2. 多路彩灯控制器的课程设计
  3. 所属分类:专业指导

    • 发布日期:2010-12-06
    • 文件大小:233472
    • 提供者:woweinikuang2
  1. 基于BHDL的16路彩灯控制器

  2. 基于BHDL的16路彩灯控制器 是货不是货 下了就知道~~!!
  3. 所属分类:专业指导

    • 发布日期:2010-12-29
    • 文件大小:533504
    • 提供者:liyinyin0811
  1. 多路彩灯控制器的设计与分析

  2. 多路彩灯控制器的设计与分析 EDA VHDL EDA技术综合应用实例与分析\
  3. 所属分类:专业指导

    • 发布日期:2011-05-25
    • 文件大小:532480
    • 提供者:yiranbuneng
  1. 多路彩灯控制器的设计

  2. 多路彩灯是关于数字电子技术和EDA课程的设计
  3. 所属分类:专业指导

    • 发布日期:2011-06-16
    • 文件大小:684032
    • 提供者:swlapxf
  1. 多路彩灯控制器_8路移存型彩灯控制器

  2. 实验指导书\课设资料\多路彩灯控制器_8路移存型彩灯控制器_数字电路课程设计实验报告.doc
  3. 所属分类:嵌入式

    • 发布日期:2012-01-03
    • 文件大小:410624
    • 提供者:ham15296812346
  1. 数字电路课程设计报告书 多路彩灯控制器

  2. 彩灯控制器可以自动控制多路彩灯按不同的节拍循环显示各种灯光变换花型,可以以两种不同的频率分别显示几种不同的花型。
  3. 所属分类:专业指导

    • 发布日期:2008-12-27
    • 文件大小:210944
    • 提供者:qidai900903
  1. 电装实习之多路彩灯控制器报告

  2. 电装实习之多路彩灯控制器,我自己做的,没有问题。
  3. 所属分类:专业指导

    • 发布日期:2010-07-21
    • 文件大小:229376
    • 提供者:wawa267
  1. 基于CPLD的多路彩灯控制器的设计

  2. 多路彩灯控制器采用VHDL语言编程,在QuartusⅡ4.0上仿真验证功能。通过下载到ALTERA DE2开发板上来实现具体的硬件设计。该设计是一个16路彩灯控制器,可自由选择3种跑马灯花型,具有清零开关,并且可以选择快慢两种节拍。
  3. 所属分类:其它

    • 发布日期:2020-07-04
    • 文件大小:258048
    • 提供者:weixin_38742453
  1. VHDL实现多路彩灯控制器

  2. 本文介绍应用美国ALTERA公司的MAX+Plus II平台,使用VHDL硬件描述语言实现的多路彩灯控制器。
  3. 所属分类:其它

    • 发布日期:2020-08-14
    • 文件大小:55296
    • 提供者:weixin_38507121
  1. EDA/PLD中的基于VHDL实现多路彩灯控制器设计应用

  2. 一、多路彩灯控制器设计原理   设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制.硬件电路的设计要求在彩灯的前端加74373锁存器。用来对彩灯进行锁存控制。此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:   (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。   (2)彩灯两边同时亮两个,然
  3. 所属分类:其它

    • 发布日期:2020-11-12
    • 文件大小:58368
    • 提供者:weixin_38656364
  1. 基于VHDL实现多路彩灯控制器设计应用

  2. 一、多路彩灯控制器设计原理   设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,SelMode,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由SelMode控制.硬件电路的设计要求在彩灯的前端加74373锁存器。用来对彩灯进行锁存控制。此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:   (1)彩灯从左到右逐次闪亮。然后从右到左逐次熄灭。   (2)彩灯两边同时亮两个,然
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:57344
    • 提供者:weixin_38693311
« 12 3 4 »