您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Protel应用实践——汽车尾灯控制器

  2. Protel应用实践——汽车尾灯控制器 电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图
  3. 所属分类:嵌入式

    • 发布日期:2010-01-05
    • 文件大小:430080
    • 提供者:taolei372817455
  1. verilog汽车尾灯

  2. 基于FPGA,实现汽车尾灯,转向,刹车,正常行驶等
  3. 所属分类:硬件开发

    • 发布日期:2010-01-11
    • 文件大小:284672
    • 提供者:chichimeimei
  1. 51单片机实验汇总(汽车尾灯、冒泡排序等)

  2. 本实验集合了清零实验 数据传送实验 找最大值 冒泡排序 汽车尾灯等大量实验。很好用。
  3. 所属分类:硬件开发

    • 发布日期:2010-01-22
    • 文件大小:158720
    • 提供者:zjp649527
  1. 汽车尾灯控制电路设计

  2. 基本要求: (1)设计一个汽车尾灯控制电路,要求能根据汽车运行情况来控制汽车尾 部左右两侧各有3个指示灯(用发光二极管模拟)。 (2)汽车正常运行时指示灯全灭。 (3)汽车右转弯时,右侧3个指示灯按右循环顺序点亮。 (4)汽车左转弯时,左侧3个指示灯按左循环顺序点亮。 (5)在临时刹车时,所有指示灯同时点亮。
  3. 所属分类:嵌入式

    • 发布日期:2010-03-01
    • 文件大小:114688
    • 提供者:yqq_177
  1. 汽车尾灯控制电路proteus

  2. 汽车尾灯控制,虽不成熟,但是一种新的尝试
  3. 所属分类:专业指导

    • 发布日期:2010-03-24
    • 文件大小:100352
    • 提供者:freedomv
  1. 基于VHDL语言的汽车尾灯控制器设计

  2. 基于VHDL语言的汽车尾灯控制器设计用MAX+PLUAII进行仿真
  3. 所属分类:嵌入式

    • 发布日期:2010-03-31
    • 文件大小:222208
    • 提供者:xialii
  1. 用multisim仿真的汽车尾灯控制系统

  2. 用multisim2001仿真的一个汽车尾灯控制系统开关1控制左边三个灯轮流亮,2控制右边三个灯轮流亮,3控制左右六个灯同时亮,4控制左右六个灯闪烁亮,主要用到的元件有74LS74D、74LS32D、74LS08D等
  3. 所属分类:嵌入式

    • 发布日期:2010-04-29
    • 文件大小:100352
    • 提供者:huanyi00
  1. Protel汽车尾灯 数电 课程设计

  2. Protel汽车尾灯 数电 课程设计 实物可以做出来
  3. 所属分类:专业指导

    • 发布日期:2010-05-16
    • 文件大小:1014784
    • 提供者:wmch312255
  1. 基于VHDL语言的汽车尾灯设计

  2. 共6个尾灯,汽车正常行驶时,6个灯全灭; 左转时,左边3个灯从右到左依次亮灭; 右转时,右边3个灯从左到右依次亮灭; 刹车时,车灯全亮;故障时,全部闪烁。
  3. 所属分类:专业指导

    • 发布日期:2010-06-02
    • 文件大小:50176
    • 提供者:aa417754098
  1. 数字逻辑《汽车尾灯》课程设计

  2. 本课程设计时完成汽车尾灯的设计,里面有完整的设计过程,还有设计的电路图。设计报告完整,都是测试通过的。
  3. 所属分类:专业指导

    • 发布日期:2010-06-12
    • 文件大小:67584
    • 提供者:shuibeixiazai
  1. 基于Multisim9.0 汽车尾灯控制系统的设计

  2. 基于Multisim9.0 汽车尾灯控制系统的设计
  3. 所属分类:专业指导

    • 发布日期:2010-06-19
    • 文件大小:625664
    • 提供者:diecuo
  1. EDA课程设计 汽车尾灯控制器的设计

  2. 汽车尾灯控制器的设计 1、设计内容 选用合适的可编程逻辑器件及外围电子元器件,设计一个汽车尾灯控制器,利用EDA软件(QUARTUS Ⅱ)进行编译及仿真,设计输入可采用VHDL硬件描述语言输入法和原理图输入法,并下载到EDA实验开发系统,连接外围电路,完成实际测试。
  3. 所属分类:嵌入式

    • 发布日期:2010-06-23
    • 文件大小:240640
    • 提供者:ZZH413540175
  1. 基于Vhdl语言描述的汽车尾灯控制电路仿真

  2. 基于基于Vhdl语言描述的汽车尾灯控制电路的仿真。设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮(R1→R2→R3→全灭→R1)时间间隔0.5S(采用一个2HZ的方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L1→L2→L3→全灭→L1);汽车倒车或临时刹车时,所有指示灯按时钟信号同步闪烁。
  3. 所属分类:嵌入式

    • 发布日期:2010-06-24
    • 文件大小:413696
    • 提供者:oldbiard
  1. 数字逻辑设计报告——尾灯控制

  2. 关于尾灯控制的数字逻辑设计报告,内容包括1.需求分析2.设计原理3.设计总体框图及流程4.实现和测试等内容
  3. 所属分类:专业指导

    • 发布日期:2010-07-03
    • 文件大小:84992
    • 提供者:surfing52
  1. 数字电路汽车尾灯课程设计

  2. 数字电路课程设计之汽车尾灯设计,简单的设计,以了解数字电路相关的知识!可以让大家借鉴借鉴,交流一下!!
  3. 所属分类:专业指导

    • 发布日期:2010-07-05
    • 文件大小:86016
    • 提供者:lfp0511
  1. 微机课程设计汽车尾灯的模拟设计

  2. 这时上一届学生做的微机课程设计---汽车尾灯的模拟设计
  3. 所属分类:专业指导

    • 发布日期:2010-07-17
    • 文件大小:1024
    • 提供者:piaosanlang
  1. 汽车尾灯控制的原理图 软件用:max+plus2

  2. 汽车尾灯控制电路图时在软件max+plus2 的环境下运行的,只有电路图,没有vhdl语言,是属于EDA范畴。
  3. 所属分类:专业指导

    • 发布日期:2010-07-21
    • 文件大小:422912
    • 提供者:wzhjwzhj78
  1. Verilog 数字钟与汽车尾灯

  2. 共23页。 用Verilog编写的数字钟与汽车尾灯模块。其中数字钟具有时间显示的基本功能,按键校时校分,闹钟模块(包含校时校分),仿电台报时(四低一高),整点报时,12-24显示切换等强大功能。汽车尾灯用四个按键模拟左转,右转,刹车和倒车选择;六个LED模拟尾灯在不同行驶状态下的显示情况。两个实验均包含详细的原理及代码,且有时序仿真图和模块生成图。共23页,可作为课程设计的参考模板。
  3. 所属分类:嵌入式

    • 发布日期:2010-07-26
    • 文件大小:594944
    • 提供者:yb7925385
  1. 基于VHDL语言的汽车尾灯控制器设计.pdf

  2. 基于VHDL语言的汽车尾灯控制器设计.pdf基于VHDL语言的汽车尾灯控制器设计.pdf
  3. 所属分类:专业指导

    • 发布日期:2010-09-11
    • 文件大小:222208
    • 提供者:opp563
  1. 汽车尾灯proteus仿真及程序

  2. 汽车尾灯完整的proteus仿真及c语言程序
  3. 所属分类:嵌入式

    • 发布日期:2010-10-23
    • 文件大小:54272
    • 提供者:zhouyanfang1104
« 1 23 4 5 6 7 8 9 10 ... 20 »