您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Verilog HDL 数字跑表

  2. verilog HDL 数字跑表 源程序 适用于 verilog HDL初学者 verilog HDL 数字跑表 源程序也可以用于课程设计
  3. 所属分类:专业指导

    • 发布日期:2009-05-23
    • 文件大小:242688
    • 提供者:wopingchangxin
  1. 数字秒表 verilog HDL实现

  2. 自己写的一个数字秒表,已经在实验板上面验证通过 /**********************************************************/ /*MODULE: stopwatch */ /*FILE NAME: stopwatch.v */ /*VERSION: v3.0 */ /*DATE: 2009-05-31 */ /*AUTHOR: ht5815 */ /*DEscr iptION: stopwatch display whit 8 LEDs */ /**
  3. 所属分类:其它

    • 发布日期:2009-06-08
    • 文件大小:8192
    • 提供者:ht5815
  1. 用Verilog编写的数字跑表,带有起停控制和异步复位

  2. 用Verilog编写的数字跑表,带有起停控制和异步复位,在7段数码管上显示
  3. 所属分类:专业指导

    • 发布日期:2009-06-23
    • 文件大小:584704
    • 提供者:h0nly_zhang
  1. VHDL语言设计数字跑表的源代码

  2. VHDL语言设计数字跑表的源代码,通过VHDL语言实现数字跑表的功能
  3. 所属分类:专业指导

    • 发布日期:2009-06-30
    • 文件大小:243712
    • 提供者:cjlsk
  1. 数字跑表课程设计论文

  2. 数字跑表是人们日常生活中比较常见的工具,应用也比较广泛。本课题的主要内容是用AT89C51单片机为核心,配备7段数码显示模块等功能模块设计一款数字跑表,要求用数码管可以显示百分秒、秒、分,具有暂停\启动功能和重新开始功能。 本文的核心主要有硬件设计和软件编程两个大的方面。硬件电路设计主要包括中央处理单元电路、时钟电路、人机接口电路、信号处理电路、执行电路等几部分。软件编程用C语言来实现,主要包括主程序、键盘扫描子程序、时间设置子程序等软件模块。程序编译可用keil软件实现,电路功能仿真用Pro
  3. 所属分类:C

    • 发布日期:2010-12-22
    • 文件大小:2097152
    • 提供者:youngpat
  1. 采用verilogHDL编写的数字跑表

  2. 本代码采用verilogHDL编写,实现的是数字跑表功能
  3. 所属分类:嵌入式

    • 发布日期:2011-04-08
    • 文件大小:156672
    • 提供者:akydx2
  1. verilog 实现数字跑表

  2. 如果你是肥大学子,在做verilog课程设计,不用再看了,这就是你需要的! 此版本word内部为程序的截屏,程序需要自己敲进电脑。若不想自己敲代码,清下载我上传的另一版本。 功能描述:此数字跑表由三个按键控制,按键功能如下: SW1:实现暂停、开始以及数据的保存 SW2:实现清零 SW3:实现已保存数据的显示
  3. 所属分类:电信

    • 发布日期:2011-05-31
    • 文件大小:738304
    • 提供者:wanghuiqi2008
  1. verilog 实现数字跑表

  2. 如果你是肥大学子,在做verilog课程设计,不用再看了,这就是你需要的! 此版本word内部的程序皆已经敲好了。经过自己验证完全能用。 功能描述:此数字跑表由三个按键控制,按键功能如下: SW1:实现暂停、开始以及数据的保存 SW2:实现清零 SW3:实现已保存数据的显示
  3. 所属分类:电信

    • 发布日期:2011-06-04
    • 文件大小:35840
    • 提供者:wanghuiqi2008
  1. EDA数字跑表

  2. 用Verilog语言编写程序,实现数字跑表启动,暂停,计数功能
  3. 所属分类:嵌入式

    • 发布日期:2011-12-11
    • 文件大小:133120
    • 提供者:fcc19910120
  1. 数字跑表论文

  2. 根据设计要求,首先对数字跑表进行结构和功能的划分。计数器部分设三个输入端,分为时钟启动,暂停,复位按键以及单片机的重启键。启动,暂停,复位键都是低电平有效,开始三个键都置空,接通电源,开始运行显示00.00.00,当按下启动键时,启动键为低电平,计数器开始计数,当按下暂停键时,暂停键位低电平,跑表停止计数并保留所停止的时间不变,若要继续计数,再次按下启动键将其置0。若要重新计数按下复位键,则系统重新开始计数。当按下单片机的重启键时,其他三个键又重新置空,显示00.00.00。该系统是T0计时器
  3. 所属分类:硬件开发

    • 发布日期:2011-12-17
    • 文件大小:546816
    • 提供者:xfnibuzd520
  1. vhdl数字跑表程序

  2. vhdl中数字跑表实用程序,简洁明了,印象深刻
  3. 所属分类:其它

    • 发布日期:2012-09-24
    • 文件大小:262144
    • 提供者:zhy2214
  1. EDA数字跑表设计

  2. 基于eda的一个数字秒表的设计,包括部分仿真结果,和全部的vhdl源程序
  3. 所属分类:嵌入式

    • 发布日期:2012-11-08
    • 文件大小:812032
    • 提供者:hz5241
  1. verilog设计数字跑表

  2. verilog设计的数字跑表: 1.具有暂停/启动功能; 2.具有重新开始功能; 3.用6个数码管分别显示百分秒、秒和分钟。
  3. 所属分类:硬件开发

    • 发布日期:2012-11-30
    • 文件大小:422912
    • 提供者:f_sir_gong
  1. 数字跑表程序

  2. 用verilog写的数字跑表程序,程序简洁易懂,适合初学者学习
  3. 所属分类:硬件开发

    • 发布日期:2013-01-15
    • 文件大小:6144
    • 提供者:bobinson
  1. 数字跑表计时器

  2. vhdl语言实现数字跑表,可计时一个小时。
  3. 所属分类:其它

    • 发布日期:2013-10-15
    • 文件大小:663552
    • 提供者:u010393770
  1. verilog数字跑表

  2. verilog数字跑表 建模仿真验证 包含代码和详细分析
  3. 所属分类:嵌入式

    • 发布日期:2014-06-23
    • 文件大小:424960
    • 提供者:u012916334
  1. 数字跑表设计

  2. 数字集成电路使用Verilog HDL编写数字跑表程序
  3. 所属分类:软件测试

    • 发布日期:2014-06-25
    • 文件大小:337920
    • 提供者:blackrose_0726
  1. 74LS160数字跑表

  2. 基于74LS160的数字跑表,能实现百分秒0-99,秒,分的计时,外加启动/暂停,清零电路。
  3. 所属分类:其它

    • 发布日期:2015-05-30
    • 文件大小:214016
    • 提供者:baidu_27914911
  1. 用FPGA实现数字跑表功能

  2. 利用VerilogHDL语言在FPGA开发板上面实现利用数码管显示0到99的跑表功能。
  3. 所属分类:软件测试

    • 发布日期:2018-04-16
    • 文件大小:36864
    • 提供者:due_you_leefeng
  1. FPGA数字跑表设计

  2. FPGA数字跑表设计,内附项目设计分析报告+Verilog HDL代码+仿真结果,可直接烧写于FPGA中,适用于FPGA的初学者使用!
  3. 所属分类:硬件开发

    • 发布日期:2020-06-29
    • 文件大小:135168
    • 提供者:qq_41592540
« 12 3 4 »