您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA七段数码显示译码器设计

  2. 七段数码显示译码器设计,VHD语言设计L
  3. 所属分类:其它

    • 发布日期:2009-05-23
    • 文件大小:319488
    • 提供者:hnicholastse
  1. 用键盘控制一位7段数码显示管

  2. 用4*4键盘控制,用一位数码显示管来显示数字1-9
  3. 所属分类:硬件开发

    • 发布日期:2009-08-15
    • 文件大小:75776
    • 提供者:tanpeiyi
  1. 数码显示译码器的设计程序 verilog

  2. 数码显示译码器的设计程序 verilog 欢迎下载
  3. 所属分类:专业指导

    • 发布日期:2009-08-20
    • 文件大小:57344
    • 提供者:wuxiaopingy123
  1. 七段数码显示的数字时钟

  2. 七段数码显示的数字时钟 七段数码显示的数字时钟 七段数码显示的数字时钟 七段数码显示的数字时钟
  3. 所属分类:C/C++

    • 发布日期:2009-08-25
    • 文件大小:56320
    • 提供者:tikycc2
  1. 数码显示学号\分时显示学号的全部\分时显示.c

  2. 数码显示学号\分时显示学号的全部\分时显示.c 单片机、c51可用
  3. 所属分类:C

    • 发布日期:2009-11-20
    • 文件大小:2048
    • 提供者:caomeishang
  1. cap交通灯 数码显示

  2. 交通灯 数码显示 DBB 画好的电路图 交通灯 数码显示 DBB 画好的电路图 交通灯 数码显示 DBB 画好的电路图
  3. 所属分类:交通

    • 发布日期:2009-12-09
    • 文件大小:251904
    • 提供者:caplzc
  1. 七段数码显示\ 数字时钟

  2. ,有一篇的实现方法较为简单,但绘出的数字不够逼真,而另一篇实现的效果虽然逼真,但必须依靠位图资源,并且无法设置前景色和背景色等。笔者经过细心的研究与试验,终于找到了较好的解决办法。本文将具体讲述七段数码显示的数字时钟的实现。   关键字 七段数码显示
  3. 所属分类:专业指导

    • 发布日期:2009-12-11
    • 文件大小:5120
    • 提供者:crazyrock
  1. PIC16F877单片机的键盘和LED数码显示接口

  2. PIC16F877单片机的键盘和LED数码显示接口
  3. 所属分类:硬件开发

    • 发布日期:2010-06-13
    • 文件大小:78848
    • 提供者:mazipao
  1. 基于51单片机的动态数码显示技术仿真

  2. 与大家一起分享,基于51单片机的动态数码显示技术仿真。
  3. 所属分类:硬件开发

    • 发布日期:2010-07-21
    • 文件大小:61440
    • 提供者:yiqi17580
  1. 1-wire+DS18B20+数码显示+Proteus+595温度测试C源码

  2. 1-wire总线协议已经应用到各方各面,它具有节省硬件资源,使用方便。通过对DS18B20OneWire的学习与使用,一通百通,对单总线协议有更深的理解。本程序可以直接使用,改进提出更好建议。 本程序已经设计通过,特别是其结构化的设计结构,可以给学习C程序者有个非常好的借鉴。 DS18B20 数码显示 Proteus 74HC595温度测试 C源码。 内包含有Proteus仿真文件。
  3. 所属分类:C

    • 发布日期:2011-04-26
    • 文件大小:82944
    • 提供者:cos12a
  1. 一个用LABVIEW制作的七段数码显示管

  2. 一个用LABVIEW制作的七段数码显示管
  3. 所属分类:其它

    • 发布日期:2011-06-19
    • 文件大小:12288
    • 提供者:czj10891
  1. 数码显示温度

  2. 数码显示温度,仿真电路连接,详细C语言注解,简单明朗
  3. 所属分类:C

    • 发布日期:2011-10-22
    • 文件大小:92160
    • 提供者:cd563912242
  1. 七段数码显示字符图

  2. 0-F的七段数码显示字符图,共阴极,码的顺序为abcdefgh,包括小数点h
  3. 所属分类:专业指导

    • 发布日期:2011-11-28
    • 文件大小:97280
    • 提供者:agengyx
  1. 4位数码显示电压表

  2. 4位数码显示电压表,有c语言程序也有protues仿真
  3. 所属分类:C

    • 发布日期:2011-11-29
    • 文件大小:75776
    • 提供者:melzh
  1. 动态数码显示技术(YZB20111114-2)

  2. 动态数码显示,单片机,数码管,代码,原理图
  3. 所属分类:C

    • 发布日期:2011-12-14
    • 文件大小:83968
    • 提供者:y77234606
  1. 单片机 89C52 动态数码显示

  2. 内带郭天祥 实验板子 仿真图 动态数码显示C 代码
  3. 所属分类:硬件开发

    • 发布日期:2012-03-03
    • 文件大小:39936
    • 提供者:lqfcu3mtd
  1. 矩阵键盘扫描及LED数码显示综合实验

  2. 一、实验要求    利用4×4键盘和一个LED数码管构成简单的输入显示系统,实现键盘输入和LED数码显示相应键值的功能。 二、实验目的 1.掌握数码管显示原理,及无译码显示电路的显示程序的编写;   1.理解矩阵键盘扫描的原理;   2.掌握矩阵键盘与51单片机接口的编程方法。
  3. 所属分类:C/C++

  1. LED 数码显示控制 plc程序

  2. plc程序 LED 数码显示控制。需要的可以下载。
  3. 所属分类:其它

    • 发布日期:2012-11-20
    • 文件大小:3072
    • 提供者:qzlangya
  1. VC数码显示控件

  2. 数码显示类,可以用来在text类控件上显示数码管的风格
  3. 所属分类:C++

    • 发布日期:2013-01-05
    • 文件大小:44032
    • 提供者:chuyinghunan206
  1. 模数转换转换 数码显示

  2. 549模数转换转换 1602数码显示 上下限调节报警
  3. 所属分类:硬件开发

    • 发布日期:2013-01-12
    • 文件大小:9216
    • 提供者:mti06
« 12 3 4 5 6 7 8 9 10 ... 50 »