您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Verilog FPGA 交通灯 设计(基于Basys2设计,使用者只需移植到板子上即可使用,三段式状态机编写)

  2. FPGA 交通灯 设计(基于Basys2设计,使用者只需移植到板子上即可使用,三段式状态机编写)
  3. 所属分类:硬件开发

    • 发布日期:2017-11-19
    • 文件大小:788480
    • 提供者:w1152715961
  1. STM32串口通信协议和状态机模式程序

  2. STM32串口通信协议和状态机模式程序,亲测能用。内有详细的讲解文档,很好的学习资料。
  3. 所属分类:硬件开发

    • 发布日期:2017-11-24
    • 文件大小:11534336
    • 提供者:zhaoxin5002
  1. 多功能独立按键程序

  2. 用状态机写的单片机多功能按键程序,程序注释清晰,只要稍作修改便可移植使用
  3. 所属分类:C

    • 发布日期:2018-01-09
    • 文件大小:6144
    • 提供者:qq_27209577
  1. 有限状态机 FSM

  2. 利用 VHDL 设计的许多实用逻辑系统中 有许多是可以利用有限状态机的设计方案来 描述和实现的 无论与基于 VHDL 的其它设计方案相比 还是与可完成相似功能的 CPU 相比 状态机都有其难以逾越的优越性 它主要表现在以下几方面 h 由于状态机的结构模式相对简单 设计方案相对固定 特别是可以定义符号化枚 举类型的状态 这一切都为 VHDL 综合器尽可能发挥其强大的优化功能提供了有利条件 而且 性能良好的综合器都具备许多可控或不可控的专门用于优化状态机的功能 h 状态机容易构成性能良好的同步时序
  3. 所属分类:硬件开发

    • 发布日期:2018-01-10
    • 文件大小:222208
    • 提供者:drjiachen
  1. 有限状态机的VHDL优化设计

  2.  当前以硬件描述语言为工具、逻辑器件为载体的系统设计越来越广泛。在设计中,状态机是最典型、应用最广泛的电路模块,其在运行速度的高效、执行时间的确定性和高可靠性方面都显现出强大的优势。状态机及其设计技术水平决定了系统设计的优劣[1]。如何设计一个最优化的状态机是我们必须面对的问题。 本文将详细讨论状态机编写的各个步骤对优化状态机所起到的作用。
  3. 所属分类:专业指导

    • 发布日期:2009-01-03
    • 文件大小:124928
    • 提供者:liqiusheng85
  1. VHDL语言学习课件共十二章

  2. 基本语法 状态机设计方法 等等 实例,共12章,介绍很详细。 如:第 6 章 VHDL 语言的并行描述语句 本章主要内容:
  3. 所属分类:专业指导

    • 发布日期:2009-01-03
    • 文件大小:1048576
    • 提供者:chengzengju
  1. 状态机在嵌入式系统中的应用

  2. Practical Statecharts in C C++ Quantum Programming for Embedded Systems,
  3. 所属分类:C

    • 发布日期:2009-01-09
    • 文件大小:1048576
    • 提供者:thomas_hgq
  1. oSIP协议栈浅析(非常好的学习OSIP的资料)

  2. 详细分析了OSIP的各个模块,对OSIP中的四个状态机进行了深刻的分析,个人感觉非常不错,和大家分享一下,我为人人,人人为我!
  3. 所属分类:专业指导

    • 发布日期:2009-02-24
    • 文件大小:190464
    • 提供者:yuanfj86912
  1. FPGA应用培训课件

  2. FPGA应用技术培训课件,,状态机的设计,FPGA应用实例
  3. 所属分类:硬件开发

    • 发布日期:2009-03-05
    • 文件大小:470016
    • 提供者:hhlzwl
  1. 每天感悟总结-状态模式State

  2. 2009-03-10模式State:一个事件(消息)引起环境或数据的变化,可能导致状态变化,于是处理流程改变,这里的状态是把处理流程分成了很多阶段,通过状态机来根据传来的事件(消息)引发状态转换,管理状态属性和状态行为,从而使流程顺利进行。流程只通过事件(消息)来和状态机数据交换,实现流程和状态的隔离以及状态的自动管理。
  3. 所属分类:其它

    • 发布日期:2009-03-10
    • 文件大小:2048
    • 提供者:gdjc08
  1. 状态机的论文有关FPGA的编程

  2. 状态机的论文有关FPGA的编程 State machine design techniques for Verilog and VHDL
  3. 所属分类:硬件开发

    • 发布日期:2009-03-27
    • 文件大小:150528
    • 提供者:albertddd
  1. WF 状态机 订单处理的源代码

  2. 很不错的一个基于WF,用状态机实现的工作流例子,实现持久化服务,运行时管理,人工调度服务。。。
  3. 所属分类:C#

    • 发布日期:2009-04-20
    • 文件大小:79872
    • 提供者:build12398
  1. 基于vhdl的串行发送器,状态机编写

  2. 设计一个串行数据发送器。并行8位数据‘Z’载入发送器后,通过串行口‘X’输出。具体要求如下 1、信号‘load’用来指示数据载入是否完成。当load变为1时,说明数据Z已经载入完成。当load变为0时开始发送数据。 2、Z的低位先发送 3、在发送Z之前先发送起始位‘0’ 4、Z发送完毕后,再发送奇偶校验位,(设计位偶校验位,即发送的8位数据+奇偶校验位9位数据‘1’的个数为偶);然后再发送结束位‘1’; 5、结束位发送完毕,empty输出‘1’;
  3. 所属分类:嵌入式

    • 发布日期:2018-03-07
    • 文件大小:218112
    • 提供者:weixin_41776235
  1. 基于unity的FSM

  2. 一个基于unity设计的FSM状态机代码库, 一个基于unity设计的FSM状态机代码库
  3. 所属分类:Unity3D

    • 发布日期:2018-03-23
    • 文件大小:6291456
    • 提供者:fubengqin29
  1. 状态机stateflow

  2. matlab中状态机 stateflow的详细使用方法。matlab中状态机 stateflow的详细使用方法。matlab中状态机 stateflow的详细使用方法
  3. 所属分类:讲义

    • 发布日期:2018-04-22
    • 文件大小:1048576
    • 提供者:weixin_41885845
  1. 课程状态机汇编代码

  2. 数字电路原理课程,利用EDA编程方法实现状态机 实现代码
  3. 所属分类:嵌入式

    • 发布日期:2018-04-25
    • 文件大小:824
    • 提供者:zhanyue1126
  1. NI-Tutorial-简单状态机.pdf

  2. NI-Tutorial-简单状态机.pdf
  3. 所属分类:其它

    • 发布日期:2018-05-01
    • 文件大小:259072
    • 提供者:weixin_42112079
  1. 状态机模拟ATM

  2. 用状态机模拟ATM机的基本功能,存取款,密码验证,转账。
  3. 所属分类:嵌入式

    • 发布日期:2018-05-06
    • 文件大小:4194304
    • 提供者:qq_40246534
  1. verilog流水灯状态机实现

  2. verilog流水灯状态机实现,简单里例程。verilog流水灯状态机实现,简单里例程verilog流水灯状态机实现,简单里例程verilog流水灯状态机实现,简单里例程verilog流水灯状态机实现,简单里例程。
  3. 所属分类:硬件开发

    • 发布日期:2018-05-09
    • 文件大小:1048576
    • 提供者:fubian4633
  1. 停车场车辆进出计数+状态机(Verilog HDL)

  2. 基于Quartus13.0的EDA课程的Verilog代码 2. 基本要求 (1)根据图 1 分析一辆车进入停车场时两个传感器 ab 依次产生的信号序列及对应的状态; (2)设计一个有限状态机 FSM,根据两个传感器信号,确定是否有车辆进入停车场,考虑 可能有行人干扰或其他非正常状况。当检测到一辆车真正进入停车场时(以车辆尾部离 开传感器为准),计数器加 1。使用开关模拟两个传感器信号,用一个 7 段数码管显示 进入停车场的车辆数。选择合适的时钟频率,电路应具有复位控制。 3. 提高部分 在基
  3. 所属分类:硬件开发

    • 发布日期:2018-05-10
    • 文件大小:3145728
    • 提供者:qq_28662831
« 1 2 ... 45 46 47 48 49 50»