您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字秒表的设计数字秒表的设计数字秒表的设计数字秒表的设计

  2. 电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计电子秒表的设计
  3. 所属分类:专业指导

    • 发布日期:2009-05-03
    • 文件大小:591872
    • 提供者:jianke16890
  1. 可任意启动/停止的电子秒表

  2. 可任意停止启动的电子时钟 目录 一、 课程设计要求 二、 课程设计目的 三、 设计思想 四、 所用芯片及其工作原理介绍 1. 8086 2. 8253 3. 8255A 4. 8259A 5. LED 五、 程序流程图 六、 原理图 七、 心得体会 八、 致谢 九、 参考文献 十、 附录
  3. 所属分类:嵌入式

    • 发布日期:2009-07-08
    • 文件大小:749568
    • 提供者:Shiaming1
  1. C语言单片机设计成的电子秒表设计

  2. 这是一个用51单片机写的电子秒表设计报告(C语言)大家如果需要可以看看
  3. 所属分类:C

    • 发布日期:2009-10-16
    • 文件大小:371712
    • 提供者:baikai2008
  1. 电子秒表模拟程序设计

  2. 1、用系统8253定时器提供的55ms定时单位,设计秒表定时程序。 2、有关系统定时方法: PC机系统中的8253定时器0工作于方式3,外部提供一个时钟作为CLK信号, 频率:f=1.1931816MHz。 定时器0输出方波的频率:fout=1.1931816/65536=18.2Hz。 输出方波的周期Tout=1/18.2=54.945ms。8253A每隔55ms引起一次中断,作为定时信号。可用55945ms作基本计时单位。 用BIOS调用INT 1AH可以取得该定时单位。例:1秒=18.2
  3. 所属分类:iOS

  1. 用单片机制作的电子秒表

  2. 用单片机制作的电子秒表。。。。。。。。。。。。。。。。。
  3. 所属分类:硬件开发

    • 发布日期:2009-11-24
    • 文件大小:90112
    • 提供者:yao_68
  1. 基于F020的电子秒表

  2. 可以用的秒表C程序,在相应位置填入字符代码即可完成显示,使用的液晶是12864。
  3. 所属分类:C

    • 发布日期:2009-12-28
    • 文件大小:9216
    • 提供者:chengdianyisu
  1. 数字电子秒表课程设计 下载

  2. 本资源为电子秒表的课程设计,通过系统仿真已经可以完全运行
  3. 所属分类:嵌入式

    • 发布日期:2010-05-29
    • 文件大小:95232
    • 提供者:victim111
  1. 电子秒表的汇编程序编码

  2. 电子秒表的功能实现,计时,定时,开启,暂停等多种功能
  3. 所属分类:硬件开发

    • 发布日期:2010-06-22
    • 文件大小:4096
    • 提供者:wangzhouyuziwei
  1. 电子秒表 51 单片机课程设计

  2. 经典的单片机的课程设计 带倒计时 电子秒表的 值得下载
  3. 所属分类:硬件开发

  1. 单片机电子秒表设计报告

  2. 用开关控制两种计时模式 用开关控制电子秒表的启动/停止/复位
  3. 所属分类:硬件开发

    • 发布日期:2009-01-03
    • 文件大小:337920
    • 提供者:qq164667983
  1. 汇编语言电子秒表课程设计

  2. 汇编语言电子秒表课程设计汇编语言电子秒表课程设计汇编语言电子秒表课程设计汇编语言电子秒表课程设计汇编语言电子秒表课程设计汇编语言电子秒表课程设计汇编语言电子秒表课程设计汇编语言电子秒表课程设计汇编语言电子秒表课程设计
  3. 所属分类:硬件开发

    • 发布日期:2009-01-10
    • 文件大小:18432
    • 提供者:u011018944
  1. 交通灯 电子秒表 多个设计

  2. 用89C51,外接晶振,复位电路,二个数码管,二个按键,做一个电子秒表,具体要求为用按键起停电子表,可用按键设计倒计时时间(如10S,20S,60S),并启动倒计时功能。能用按键选择以上两功能之一。 一、设计目的:掌握定时/计数器8253和中断的使用。 二、设计任务:编写汇编程序,在PC机上完成电子秒表功能。 三、设计要求 1、基本要求: 1)设计可以显示1~60秒的无存储功能的秒表,最小单位为毫秒。 2)通过按键控制秒表清零、暂停、继续,退出等。 1、 东西方向的绿灯和南北方向的红灯同时点亮
  3. 所属分类:交通

    • 发布日期:2009-01-13
    • 文件大小:200704
    • 提供者:u013626544
  1. 利用protues仿真时间可调的电子秒表

  2. 利用protues对单片机制作 时间可调的电子秒表的的电路图进行仿真
  3. 所属分类:嵌入式

    • 发布日期:2018-04-16
    • 文件大小:104448
    • 提供者:u010658514
  1. 基于Verilog语言的电子秒表设计

  2. 基于Verilog语言的电子秒表设计,使用的FPGA板为Cyclone IV E:EP4CE6E22C8
  3. 所属分类:讲义

    • 发布日期:2018-06-24
    • 文件大小:3145728
    • 提供者:qq_18833967
  1. 数字电路电子秒表

  2. 用555集成定时器、触发器、集成计数器等电路的组成和使用。了解电子秒表的内部结构和调试方法做电子秒表
  3. 所属分类:专业指导

  1. 数电电子秒表课程设计

  2. 电子秒表课程设计,绝对好东西,不下很可惜额!
  3. 所属分类:专业指导

    • 发布日期:2010-06-26
    • 文件大小:579584
    • 提供者:xp525001
  1. 基于EDA技术的电子秒表设计与实现

  2. 本文在简述电子秒表工作原理和方法的基础上,主要介绍基于EDA技术的电子秒表的设计与实现过程。利用EDA软件工具完成设计、编译、综合、优化和仿真测试等功能,可以提高在有限的时间内完成课题的成功率和设计效率。
  3. 所属分类:其它

    • 发布日期:2020-07-31
    • 文件大小:84992
    • 提供者:weixin_38600432
  1. 数字电路课程设计-电子秒表的设计

  2. 电子秒表的数字电路设计,自己做的哈!使用EWB仿真软件,简单易懂,很容易被接受!
  3. 所属分类:嵌入式

    • 发布日期:2011-01-03
    • 文件大小:105472
    • 提供者:yugeli
  1. 电子秒表数电实验实验报告

  2. 数电实验 电子秒表 计数器 华中科技大学 包含电路图以及各个模块的解释,从00.00计时到59.99s然后暂停并发出报警信号,具有启动、暂停、连续功能
  3. 所属分类:电信

    • 发布日期:2020-09-24
    • 文件大小:573440
    • 提供者:qq_43389623
  1. 数字电路电子秒表 0-9.9秒计时 PROTUES仿真

  2. 数字电路电子秒表 0-9.9秒计时,使用555产生脉冲50HZ脉冲,74ls90进行5分频,74ls90 8421接法十分频,从而产生1/10S与1S秒计时。
  3. 所属分类:硬件开发

    • 发布日期:2020-12-02
    • 文件大小:18432
    • 提供者:weixin_42717781
« 1 2 34 5 6 7 8 9 10 ... 17 »