您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 电子秒表 用74LS90实现

  2. 充分运用芯片74LS90的逻辑功能,用四片74LS90芯片实现秒表示00:00—99:99秒。利用集成与非门构成的基本RS触发器(低电平直接触发)实现电路的直接置位、复位功能。利用集成与非门构成的微分型单稳态触发器为计数器清零提供输出负脉冲。利用555定时器构成的多谐振荡器为电路提供脉冲源以驱动电路工作。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-12
    • 文件大小:293888
    • 提供者:a493942097
  1. C语言电子秒表系统设计

  2. 用的是C语言,而不是汇编语言,想要做微机原理课程设计的同学请不要下,大家注意!
  3. 所属分类:C

    • 发布日期:2009-06-17
    • 文件大小:277504
    • 提供者:zhuxiao09190
  1. 数电课程设计 数字式秒表

  2. 设计任务与要求: ①设计并制作符合要求的电子秒表。 ②秒表由6位七段LED显示器显示,其中两位显示“分”,两位显示“秒”,两位显示百分秒(分辨率为0.01秒)。 ③计时最大值为99分59.99秒,误差小于0.01秒。 ④具有清零、启动计时、暂停计时、继续计时等功能。 ⑤控制操作按键不得超过2个。
  3. 所属分类:专业指导

    • 发布日期:2009-06-24
    • 文件大小:8192
    • 提供者:w709854369
  1. 数字式秒表数电课程设计

  2. 设计任务与要求: ①设计并制作符合要求的电子秒表。 ②秒表由6位七段LED显示器显示,其中两位显示“分”,两位显示“秒”,两位显示百分秒(分辨率为0.01秒)。 ③计时最大值为99分59.99秒,误差小于0.01秒。 ④具有清零、启动计时、暂停计时、继续计时等功能。 ⑤控制操作按键不得超过2个。
  3. 所属分类:专业指导

    • 发布日期:2009-06-24
    • 文件大小:2048
    • 提供者:w709854369
  1. 基于单片机数字式电子秒表电路设计

  2. 基于单片机数字式电子秒表电路设计,有秒表和时钟两个功能,通过按键切换
  3. 所属分类:硬件开发

    • 发布日期:2010-12-02
    • 文件大小:525312
    • 提供者:a919683578
  1. 基于multisim电子秒表的设计

  2. 数字秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、十进制计数器、6进制计数器、数据选择器和译码器等组成。整个秒表还需有一个启动信号和一个归零信号,以便秒表能随意停止及启动,计数器的输出全都为BCD码输出,方便显示译码器连接。本设计基于简单易行的原则,秒表显示以0.1s为最小单位,最大量程为9.9s,采用七段数码管作为显示部分,以此来达到基本设计要求.
  3. 所属分类:其它

    • 发布日期:2011-04-21
    • 文件大小:36864
    • 提供者:skycity100
  1. 基于单片机电子秒表设计毕业论文

  2. 近年来随着科技的飞速发展,单片机的应用正在不断的走向深入。本文阐述了基于单片机的数字电子秒表设计。本设计主要特点是计时精度达到0.001s,解决了传统的由于计时精度不够造成的误差和不公平性,是各种体育竞赛的必备设备之一。另外硬件部分设置了查看按键,可以对秒表上一次计时时间进行保存,供使用者查询。 本设计的数字电子秒表系统采用AT89C52单片机为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、LED数码管以及外部中断电路来设计计时器。将软、硬件有机地结合起来,使得系统能够实现五位
  3. 所属分类:硬件开发

    • 发布日期:2011-05-08
    • 文件大小:3145728
    • 提供者:cslichao
  1. 数电课程设计电子秒表设计

  2. 数电课程设计.......... 电子秒表.............
  3. 所属分类:专业指导

    • 发布日期:2011-06-24
    • 文件大小:774144
    • 提供者:kk469328951
  1. 电子秒表应用与设计

  2. 电子秒表应用与设计
  3. 所属分类:Android

    • 发布日期:2011-12-28
    • 文件大小:2048
    • 提供者:xq343434
  1. eda电子秒表

  2. 利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。该数字秒表能对0秒~59分59.99秒范围进行计时,显示最长时间是59分59秒。计时精度达到10ms。复位开关可以在任何情况下使用,使用以后计时器清零,
  3. 所属分类:嵌入式

    • 发布日期:2012-01-04
    • 文件大小:201728
    • 提供者:tmplayers
  1. 电子秒表软件

  2. 电子秒表软件:运行后可以显示从开始运行到现在的时间,精确到0。001秒
  3. 所属分类:其它

    • 发布日期:2012-11-22
    • 文件大小:24576
    • 提供者:zhouyiszjxj
  1. 电子秒表设计

  2. 数字电路课程电子秒表的设计,掌握与了解各种芯片作用与功能
  3. 所属分类:嵌入式

    • 发布日期:2013-01-06
    • 文件大小:1048576
    • 提供者:never20101991
  1. 数字电子秒表

  2. 1)秒表由6 位七段LED显示器显示,其中两位显示“min”,四位显示“s”,显示分辨率为0.01 s; 2)计时最大值为99 min59.99s; 3)计时误差不得超过0.01s; 4)具有清零、启动计时、暂停计时及继续计时等控制功能; 5)控制操作按键不得超过2个。
  3. 所属分类:其它

    • 发布日期:2014-06-17
    • 文件大小:369664
    • 提供者:gsd199423
  1. eda电子秒表

  2. eda电子秒表
  3. 所属分类:讲义

    • 发布日期:2014-06-21
    • 文件大小:8388608
    • 提供者:baidu_16747407
  1. Java版电子秒表

  2. Java写的一个精美的电子秒表。应用了Swing、多线程。以及一些游戏开发技巧。适合想学2D游戏开发以及Swing、多线程的初学者。
  3. 所属分类:Java

    • 发布日期:2016-12-17
    • 文件大小:917504
    • 提供者:u010005424
  1. FPGA电子秒表设计

  2. 设计一个简单的电子秒表(按一下钮启动,再按一个停止,再按一下清零,周而复始。)最小计时单位0.01S,即可显示XX.XX(秒、百分之一秒)
  3. 所属分类:硬件开发

    • 发布日期:2008-12-18
    • 文件大小:18432
    • 提供者:sallie0219
  1. 微机原理课程设计(电子秒表的)

  2. 一、 设计任务 1、 计时秒表,具有分、秒和百分之一秒的计时功能。 2、 可以在屏幕中央显示计时结果,屏幕显示彩色图案和文字。 3、 秒表具有键控启/停功能,而且可以随时通过键盘复位,清零。
  3. 所属分类:专业指导

    • 发布日期:2009-01-03
    • 文件大小:179200
    • 提供者:user624
  1. 利用vb编写电子秒表程序,附全部代码

  2. 本电子秒表 利用vb可视化程序编写,用户可以利用这样的程序进行编程,也可以用在运动会上面 模式一个很好的vb程序集
  3. 所属分类:VB

    • 发布日期:2009-03-11
    • 文件大小:7168
    • 提供者:zhuangzhongren
  1. 微机课设数字秒表

  2. 电子秒表课程设计完整文档,各个部分内容齐全,并且附有汇编语言代码
  3. 所属分类:讲义

    • 发布日期:2018-07-21
    • 文件大小:97280
    • 提供者:qq_36674128
  1. 51单片机的电子秒表

  2. 秒表计时器 基本功能: // 精确度为0.01ms // 初始显示00.00,并处于自加暂停状态 // KEY_1控制启动暂定,KEY_2控制倒计时,KEY_3控制清零 // 串口控制功能: // 控制结束位置,结束字符为'' // 指令包括start(启动),stop(暂停),countdown(倒计时),resetting(清零)0000~6000(设置数码管显示时间) // 注:输入时间时前要加8个空格 _ _ _ _ _ _ _ _1130$ // 注:指令
  3. 所属分类:C

    • 发布日期:2020-09-10
    • 文件大小:62464
    • 提供者:qq_49381907
« 1 2 3 45 6 7 8 9 10 ... 17 »