您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 简易多功能计数器设计

  2. 设计了一个简易多功能计数器, 由89C52 单片机控制, 配合相应的测量电路以及相应的软件, 实现对频率、周期和时间间 隔、被测信号峰值、温度等的测量, 可记录10 个历史数据, 且具有掉电保持功能。其主要电路包括电平电路、时基电路、测频电 路、测周电路、测温电路、键盘输入电路、显示电路等, 信号输入后先自动判断频率的范围, 然后选择需要的测量电路。通过单 片机对数据进行处理, 在误差允许的范围内显示各个测量可作为电子类专业毕业设计
  3. 所属分类:硬件开发

    • 发布日期:2010-10-19
    • 文件大小:421888
    • 提供者:pqhll
  1. 组成原理课程设计-16模型机-指令及程序计数器设计-三选一MUX

  2. 组成原理课程设计报告一份,指令系统及程序计数器设计,融会贯通计算机组成原理课程的内容,通过知识的综合运用,加深对计算机系统各个模块的工作原理及相互联系的认识; 学习运用VHDL进行FPGA/CPLD设计的基本步骤和方法,熟悉EDA的设计、模拟调试工具的使用,体会FPGA/CPLD技术相对于传统开发技术的优点; 培养科学研究的独立工作能力,取得工程设计与组装调试的实践经验。
  3. 所属分类:硬件开发

    • 发布日期:2011-01-02
    • 文件大小:1048576
    • 提供者:aleelovejk
  1. 基于VHDL的分频计数器设计

  2. 基于VHDL的分频计数器设计,我自己编写的程序,已经通过仿真验证并且通过了,希望给大家有所帮助。
  3. 所属分类:硬件开发

    • 发布日期:2011-05-11
    • 文件大小:208896
    • 提供者:luogao12
  1. 多功能计数器设计报告

  2. 这是关于多功能计数器报告,里面详细介绍了关于多能计数器的设计,硬件的实现。
  3. 所属分类:专业指导

    • 发布日期:2011-06-29
    • 文件大小:410624
    • 提供者:yihuohog
  1. 采用74LS192设计4/7进制计数器

  2. 4/7进制计数器设计:采用74LS192(40192)。 a、数码管显示状态。 b、用开关切换两种进制。 c、计数脉冲由外部提供。 压缩包中包含multisim11的仿真图,protel99的原理图,都可以直接运行 还有一份详细的设计文档
  3. 所属分类:硬件开发

    • 发布日期:2012-06-25
    • 文件大小:488448
    • 提供者:buwenbin312
  1. 51单片机计数器设计

  2. 51单片机计数器设计
  3. 所属分类:嵌入式

    • 发布日期:2012-12-06
    • 文件大小:189
    • 提供者:dansui
  1. 数字集成芯片构成的频率计数器设计

  2. 频率器设计方案,里面有关于频率计数器的设计~~过去下载的,找得挺辛苦的
  3. 所属分类:专业指导

    • 发布日期:2013-04-10
    • 文件大小:241664
    • 提供者:f347206352
  1. EDA计数器设计

  2. EDA计数器设计,设计一个模16计数器 1、系统输入时钟48MHZ 2、系统输出1HZ信号,用LED指示灯显示; 3、系统输出模16数据,用4个LED指示灯显示;
  3. 所属分类:嵌入式

    • 发布日期:2013-12-24
    • 文件大小:566272
    • 提供者:u013269276
  1. verilog实现计数器设计

  2. verilog实现计数器设计,包括同步异步加减法计数器的详细代码
  3. 所属分类:讲义

    • 发布日期:2015-07-06
    • 文件大小:211968
    • 提供者:xunleifeiyu
  1. 6位数显频率计数器设计

  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。
  3. 所属分类:硬件开发

    • 发布日期:2010-06-27
    • 文件大小:71680
    • 提供者:dianke4211
  1. N进制异步计数器设计方案

  2.  所谓异步计数器电路,是指其构成的基本功能单元触发器的时钟输入信号不是与触发器在一起的,有的是外输入的脉冲信号,有的是其他触发器的输出,这样当外输入脉冲信号到来的时候,触发器的翻转有先有后,是异步的。
  3. 所属分类:其它

    • 发布日期:2020-07-25
    • 文件大小:50176
    • 提供者:weixin_38659646
  1. 6位数显频率计数器设计

  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。
  3. 所属分类:其它

    • 发布日期:2020-08-14
    • 文件大小:79872
    • 提供者:weixin_38741317
  1. 基于C8051F020芯片的多功能计数器设计

  2. 本系统以C8051F020为控制核心,实现了一款简易多功能计数器。通过测试。系统完全达到了设计要求,而且完成的指标比较高。 以单片机为核心设计出一款低成本、能实现周期测量、频率测量和时间间隔测量及其他扩展功能的简易计数器,有一定的实用价值和借鉴意义。
  3. 所属分类:其它

    • 发布日期:2020-08-13
    • 文件大小:131072
    • 提供者:weixin_38742532
  1. 单片机数字频率计数器设计

  2. 利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。
  3. 所属分类:其它

    • 发布日期:2020-08-11
    • 文件大小:76800
    • 提供者:weixin_38603259
  1. 基于SPCE061A的多功能计数器设计

  2. 本设计为参加院电子制作比赛而做。其设计的基本要求是:制作一个简易多功能计数器,能够接收函数信号发生器产生的信号,实现周期测量、频率测量和时间间隔测量的功能。具体有以下3项要求。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:195584
    • 提供者:weixin_38670949
  1. 模拟技术中的N进制异步计数器设计方法

  2. 所谓异步计数器电路,是指其构成的基本功能单元触发器的时钟输入信号不是与触发器在一起的,有的是外输入的脉冲信号,有的是其他触发器的输出,这样当外输入脉冲信号到来的时候,触发器的翻转有先有后,是异步的。   1.如何选取每个触发器的时钟信号   触发器状态的改变一定要有触发脉冲的触发,因此为每个触发器选取时钟信号的时候,必须满足该触发器所有状态发生变化的时刻,有触发信号的到达。同时对应不变的状态,到达的触发信号尽量少。根据第二条原则,参照二进制异步计数器的设计,对每个触发器时钟信号的选取依次从其
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:280576
    • 提供者:weixin_38677806
  1. 模拟技术中的基于MSI的N进制计数器设计方法研究

  2. 摘要  以多种种典型的中规模集成 (MSI) 计数器芯片为例,采用反馈置零法 ( 复位法 )、反馈置数法 ( 置位法 ) 和级联法来构成任意进制计数器。最后总结出详细的设计步骤。   1 绪论   计数器是数字逻辑系统中的基本部件, 它是数字系统中用得最多的时序逻辑电路,其主要功能就是用计数器的不同状态来记忆输入脉冲的个数。除此以外还具有定时、分频、运算等逻辑功能。 计数器不仅能用于对时钟脉冲的计数, 还可使用于定时、分频、产生节拍脉冲以及进行数字运算等。只要是稍微复杂一些的   数字系统
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:288768
    • 提供者:weixin_38610870
  1. 基于FSL总线的门光子计数器设计与实现

  2. 所谓门光子计数器就是针对单自旋量子调控实验研究中对单个光子探测的需求所研制的。单自旋量子调控是对晶体中的缺陷,如量子点和金刚石色心进行控制,其信号读出一般是通过自旋发生的单个光子进行探测实现的。在此类实验中常用的技术有三种:门光子计数、定时计数和相关函数测量。文中所述的系统建立了一种可扩展的通信和控制架构能够添加不同方式的计数功能。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:275456
    • 提供者:weixin_38672840
  1. 单片机定时/计数器设计输出PWM

  2. 每次计数器溢出中断的服务中取出一个正弦波的样点值到比较匹配寄存器中,用于调整下一个PWM的脉冲宽度,这样在PB4引脚上输出了按正弦波调制的PWM方波。当PB4的输出通过一个低通滤波器后,便得到一个980.4Hz的正弦波了。如要得到更精确的1KHz的正弦波,可使用定时/计数器T/C1,选择工作模式10,设置ICR1=250为计数器的上限值。
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:69632
    • 提供者:weixin_38693589
  1. 基于PWM功能的AVR单片机定时计数器设计要点

  2. ATMEL在系统级集成方面所拥有的世界级专业知识和丰富的经验使其产品可以在现有模块的基础上进行开发,保证最小的开发延期和风险。凭借业界最广泛的知识产权(IP)组合,Atmel提供电子系统完整的系统解决方案的厂商。 这使客户能够带领他们与市场的电子产品的体积更小,更聪明,更符合成本效益比以往任何时候都通用的服务。 Atmel集成电路的主要集中在消费,工业,安全,通信,计算和汽车市场。在ATMEL公司网站上,给出了使用一个定时/计数器实现双音频拨号(双音频用两中频率组合表示一个号码。比如你拨7 就是
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:79872
    • 提供者:weixin_38573171
« 1 23 4 5 6 7 8 9 10 ... 50 »