您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 8位频率计单片机课程设计

  2. 电子专业单片机课程设计-频率计,有protues仿真及源程序清单
  3. 所属分类:硬件开发

    • 发布日期:2009-07-09
    • 文件大小:16384
    • 提供者:beiguoqishi2
  1. 十六进制频率计课程设计

  2. 电子专业单片机课程设计-频率计,有protues仿真及源程序清单
  3. 所属分类:硬件开发

    • 发布日期:2009-07-09
    • 文件大小:199680
    • 提供者:beiguoqishi2
  1. 频率计课程设计的实验报告

  2. 频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。
  3. 所属分类:专业指导

    • 发布日期:2009-07-12
    • 文件大小:277504
    • 提供者:baoji11
  1. 简单的数字频率计设计(论文)

  2. 简单的数字频率计的设计~~ 快毕业的,没找到论文的同学,下来看看吧~~~ 很齐全哟~~~
  3. 所属分类:专业指导

    • 发布日期:2009-07-12
    • 文件大小:913408
    • 提供者:angely127
  1. 74系列频率计 用74系列数字器件设计一个频率计

  2. 用74系列数字器件设计一个频率计,该频率计测量频率小于10KHz,测量数据显示3秒以上,被测信号为幅值小于10V的脉冲或幅值小于10V的正弦交流电压。基本电路主要由放大整形电路、时基电路、闸门电路和逻辑控制电路组成。设计过程是运用EWB软件完成电路设计部分。
  3. 所属分类:专业指导

    • 发布日期:2009-07-14
    • 文件大小:228352
    • 提供者:blueclue888
  1. 基于单片机含T2定时器的频率计程序

  2. 基于单片机含T2定时器的频率计程序 简单可靠、使用灵活
  3. 所属分类:硬件开发

    • 发布日期:2009-07-16
    • 文件大小:4096
    • 提供者:weydf5u
  1. 基于EMP7128的频率计+程序

  2. 一个基于EMP7128与51单片机组成的频率计...测频范围1Hz--80MHz...包含电路及所有程序
  3. 所属分类:硬件开发

    • 发布日期:2009-07-17
    • 文件大小:126976
    • 提供者:ednjzl860623
  1. 自制2_4GHz数字频率计

  2. 目前,数字集成电路价格不断下降,各种拆机保用件更是价廉物美,原来上百元一块的微波分频器MB506邮购价已降到4元左右,这给自制数字频率计提供了很大方便。不久前,本人用邮购的数字集成电路自制了一台2.4GHz数字频率计,成本仅几十元。该频率计测频范围分10Hz~50MHz、50MHz~2.4GHz两挡;输入灵敏度达30mV;由于对晶振电路采取了简易恒温措施,故频率稳定度可达10-6。
  3. 所属分类:专业指导

    • 发布日期:2009-07-18
    • 文件大小:229376
    • 提供者:junht
  1. 4位频率计,可测量1-9999Hz的频率,并在LED上显示

  2. 4位频率计,可测量1-9999Hz的频率,并在LED上显示,用VERILOG HDL写的!
  3. 所属分类:硬件开发

    • 发布日期:2009-07-20
    • 文件大小:919
    • 提供者:viphotman
  1. 数字频率计(不是单片机和vhdl的)

  2. 这个数字频率计是基于数字电路和模拟电路的,你们有用的可以参考一下。希望有用
  3. 所属分类:硬件开发

  1. 数字频率计(基于单片机的)

  2. 一个基于单片机的数字频率计,你们可以参考!有用的可以试试,在multisim8中实现了
  3. 所属分类:硬件开发

  1. 数字频率计设计,有电路图

  2. multisim8.0数字频率计,这是我同学做过的,你们用的到的话可以试试看
  3. 所属分类:专业指导

  1. 基于FPGA/CPLD的32位等精度频率计

  2. 基于CPLD/FPGA的频率计,使用VHDL语言
  3. 所属分类:硬件开发

    • 发布日期:2009-07-21
    • 文件大小:257024
    • 提供者:xiexuan0929
  1. Verilog HDL编写的等精度频率计硬件语言

  2. Verilog HDL编写的等精度频率计硬件语言,经过验证,误差小于0.1
  3. 所属分类:专业指导

    • 发布日期:2009-07-24
    • 文件大小:2097152
    • 提供者:okdouble
  1. 我的频率计 proteus

  2. 我的频率计 proteus!!!!!!!!!!!!!!!!!!!!!!
  3. 所属分类:专业指导

    • 发布日期:2009-07-26
    • 文件大小:77824
    • 提供者:xiaochuan88
  1. 数字频率计的设计与制作

  2. 许多情况下,要对信号的频率进行测量,利用示波器可以粗略测量被测信号的频率,精确测量则要用到数字频率计。 二、设计目的: 本设计与制作项目可以进一步加深我们对数字电路应用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。 三、设计要求: 设计并制作出一种数字频率计,其技术指标如下: ( 1 )频率测量范围: 10 ~ 9999Hz 。 ( 2 )输入电压幅度 >300mV 。 ( 3 )输入信号波形:任意周期信号。 ( 4 )显示位数: 4 位。 ( 5 )电源:
  3. 所属分类:专业指导

    • 发布日期:2009-07-28
    • 文件大小:68608
    • 提供者:muye414
  1. VHDL下的数字频率计

  2. 用VHDL编写的数字频率计,已经在quartusII下编译完成。
  3. 所属分类:专业指导

    • 发布日期:2009-08-01
    • 文件大小:3072
    • 提供者:margycxp
  1. 基于FPGA的频率计设计

  2. 基于fpga的频率计设计 内部包含5个pdf 文件
  3. 所属分类:硬件开发

    • 发布日期:2009-08-04
    • 文件大小:1048576
    • 提供者:zhuxiaobo225761
  1. 基于VHDL的数字频率计

  2. 利用VHDL语言编写的数字频率计,可测量1-99.9999MHz的外部频率,经过测试,可用。(链接时要注意信号的干扰问题)。
  3. 所属分类:专业指导

    • 发布日期:2009-08-07
    • 文件大小:3072
    • 提供者:zhishui23
  1. 数字频率计程序\数字频率

  2. 设计制作一个单片机数字频率计进行频率的自动测量并用数码管显示被测信号的频率,测频范围为1HZ~500KHZ。
  3. 所属分类:硬件开发

    • 发布日期:2009-08-08
    • 文件大小:2048
    • 提供者:Gallen0105
« 1 2 34 5 6 7 8 9 10 ... 50 »