您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第2729页

« 1 2 ... .24 .25 .26 .27 .28 2729.30 .31 .32 .33 .34 ... 3429 »

[电信] MA5600T+命令参考(V800R006C02_11,MSAN).chm

说明: MA5600T命令以及开局指导和常用命令
<coming9000> 在 上传 | 大小:5242880

[电信] 射频基础知识培训(华为)

说明: 射频基础知识培训(华为),需要的下载,请仔细查看
<eleluq> 在 上传 | 大小:1048576

[电信] 数字电视地面广播传输系统帧结构、信道编码和调制

说明: GTMB 数字电视地面广播传输系统帧结构、信道编码和调制标准
<hdj419282873> 在 上传 | 大小:4194304

[电信] max813中文资料

说明: 详细描述了MAX813的相关原理及使用方法
<hunanhouchen> 在 上传 | 大小:315392

[电信] LCD1602模块手册

说明: 详细介绍了LCD1602的相关信息,也可供LM016L的使用者参考,LCD1602与LM016L完全一样
<hunanhouchen> 在 上传 | 大小:333824

[电信] RJ45线序详解(含千兆)

说明: 1 双绞线接头(RJ45)针脚号码定义 2 10M双绞线接头的标准接法 3 100M双绞线接头的标准接法 4 1000M双绞线接头的标准接法 5 各类双绞线的标准接法总结 6 双绞线的标准接法的由来 7 各类双绞线的标准直连接法 8 3类、5类、超5类线有什么不同 9 HUB上的级连口的妙用
<kyl2007> 在 上传 | 大小:80896

[电信] 适用于altera FPGA fft vhdl 源码

说明: 适用于alteraFPGA fft vhdl源码
<fpga_dsp> 在 上传 | 大小:1048576

[电信] 快速截图小工具

说明: 快速截图小工具,提取自QQ拼音输入法,很好用的快速截图小工具
<shiyangzi> 在 上传 | 大小:730112

[电信] 一种基于控制点自动提取的图像畸变校正算法

说明: 一种基于控制点自动提取的图像畸变校正算法
<xyq626258> 在 上传 | 大小:332800

[电信] 遗传算法 matlab

说明: 毕业设计,遗传算法,matlab实现,即matlab关于遗传算法的编码步骤。
<yuanxiaoshan1989> 在 上传 | 大小:60416

[电信] 电子线路 非线性部分

说明: 课后答案1-5章 1-2 一功率管,它的最大输出功率是否仅受其极限参数限制?为什么? 解:否。还受功率管工作状态的影响,在极限参数中,PCM 还受功率管所处环境温度、散热条件等影响。 1-3 一功率放大器要求输出功率P。= 1000 W,当集电极效率C由40%提高到70‰时,试问直流电源提供的直流功率PD和功率管耗散功率PC各减小多少? 解: 当C1 = 40 时,PD1 = Po/C = 2500 W,PC1 = PD1  Po=1500 W 当C2 = 70 时,PD2 = Po/C =
<jiuweihu23> 在 上传 | 大小:1048576

[电信] 非接智能卡14443标准

说明: 智能卡技术现在是热门,这几个文档是其入门技术,可以帮助初学者了解智能卡的基本知识
<wang_magus> 在 上传 | 大小:1048576
« 1 2 ... .24 .25 .26 .27 .28 2729.30 .31 .32 .33 .34 ... 3429 »