您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第2732页

« 1 2 ... .27 .28 .29 .30 .31 2732.33 .34 .35 .36 .37 ... 3429 »

[电信] matlab桥式逆变

说明: 基于matlab的简单桥式逆变;simulink仿真;rc优化
<zhuifengcn> 在 上传 | 大小:9216

[电信] TD优化手册

说明: 移动通信网络的运营效率和运营收益最终归结于网络质量与网络容量问题,这些问题直接体现在用户与运营商之间的接口上,这正是网络规划和优化所关注的领域。由于无线传播环境的复杂和多变以及3G网络本身的特性,TD-SCDMA网络优化工作将成为网络运营所极为关注的日常核心工作之一。 本指导书目的是用于指导TD-SCDMA网络优化工作。众所周知,网络优化是一项复杂,艰巨而又意义深远的工作。作为一种全新的3G技术,TD-SCDMA网络优化工作内容与其他标准体系网络的优化工作既有相同点又有不同点。相同的是,网络优
<gxsytxyt> 在 上传 | 大小:1048576

[电信] 大华视频卡详细开发文档和demo源码,附带SDK说明文档

说明: 大华视频卡详细开发文档和demo源码,附带SDK说明文档
<yuedong2012> 在 上传 | 大小:13631488

[电信] 手机号码归属地数据库

说明: 手机号码归属地数据库,2012年3月,有自动升级程序,但是会被认为是木马,其实不是。 自动升级挺慢的,要有耐心!
<hhhhhh469> 在 上传 | 大小:1048576

[电信] TD-SCDMA无线网络规划与优化

说明: TD-SCDMA 无线网络规划与优化
<likeping> 在 上传 | 大小:1048576

[电信] LED电源方案

说明: 有常见的,经典的LED驱动电源设计方案,方便设计人员
<dingscutdingscut> 在 上传 | 大小:3145728

[电信] cc08呼叫处理培训教程

说明: 很详细的cc08呼叫处理培训 教程,内部资料,难得哦
<liyuanmin16> 在 上传 | 大小:617472

[电信] 电流型逆变器离散滑模控制技术研究

说明: 电流型逆变器离散滑模控制技术研究,关于DC-DC变换器的研究
<mumu0123456> 在 上传 | 大小:247808

[电信] PSASP软件使用方法

说明: 好东西,,拿出来分享!恢复和合肥来开发及诶纷纷开始反抗就分了思考几分了恐惧时间浪费空间可使肌肤
<maozhixiang541013> 在 上传 | 大小:630784

[电信] 基于proteus的仿真例子 送给女友

说明: 基于proteus的仿真例子 可以送给女友哦,她会高兴的
<dongyue521> 在 上传 | 大小:841728

[电信] ADC的九个关键指标.doc

说明: 介绍ADC的几个技术指标,了解ADC的技术指标对我们选型很有帮助
<wagxx> 在 上传 | 大小:27648

[电信] 夏宇闻_Verilog_VHDL_数字系统设计教程

说明: 夏宇闻_Verilog_VHDL_数字系统设计教程
<hanhua1987228> 在 上传 | 大小:6291456
« 1 2 ... .27 .28 .29 .30 .31 2732.33 .34 .35 .36 .37 ... 3429 »