您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第2754页

« 1 2 ... .49 .50 .51 .52 .53 2754.55 .56 .57 .58 .59 ... 3429 »

[电信] 2012通信行业分析报告PPT

说明: 2012通信行业分析报告PPT,
<wendll> 在 上传 | 大小:731136

[电信] 多用户自适应OFDM系统的比特分配算法

说明: 本文研究了多用户OFDM 系统中的自适应子载波和比特分配算法,其中包括两大 类:在给定数据传输速率限制条件下最小化总发射功率和在给定功率限制条件下最大化数据 传输速率,这两种问题分别称为余量自适应(MA)和速率自适应(RA)。
<wangli8989> 在 上传 | 大小:329728

[电信] 飞行器设计

说明: 在网上收集的一些飞行器的资料,比较全面 希望对大家有帮助
<bobyzjb> 在 上传 | 大小:15728640

[电信] Agilent54600系列示波器资料

说明: Agilent54600系列示波器资料 技术资料
<xiaobeifang> 在 上传 | 大小:7340032

[电信] 交通灯Verilog设计

说明: 交通灯的verilog程序仿真,非常不错的东西
<qys243> 在 上传 | 大小:32768

[电信] PSOC Battery Specification

说明: Partial State of Discharge VRLA battery specification.
<chriszheng1982> 在 上传 | 大小:889856

[电信] GPS换经纬、时间算成

说明: GPS的部分算法,包括经纬度和时间....
<human0223> 在 上传 | 大小:12288

[电信] 教程ABJHCJ

说明: 还可以,继续做还可以,继续做还可以,继续做
<endxwb> 在 上传 | 大小:2097152

[电信] skype网络电话 usb110b uucall API访问控制软件

说明: skype网络电话 usb110b uucall API访问控制软件
<yongxiangtan> 在 上传 | 大小:861184

[电信] skype 网络电话 usb110b 说明书

说明: skype 网络电话 usb110b 说明书
<yongxiangtan> 在 上传 | 大小:883712

[电信] 基于J2ME的移动终端系统的分析与实现

说明: 基于J2ME的移动终端系统的分析与实现,论文
<ben0501> 在 上传 | 大小:91136

[电信] ds-cdma系统的多用户检测matlab程序

说明: 这是一个ds-cdma系统的多用户检测程序 包括传统、最小均方误差、解相关三种方法
<zouli155117104> 在 上传 | 大小:5120
« 1 2 ... .49 .50 .51 .52 .53 2754.55 .56 .57 .58 .59 ... 3429 »