您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第2757页

« 1 2 ... .52 .53 .54 .55 .56 2757.58 .59 .60 .61 .62 ... 3429 »

[电信] ET200M有源总线底板配置

说明: 对ET200M有源总线底板配置详细的一些介绍
<mengjingwutong> 在 上传 | 大小:595968

[电信] 串口调试助手V2.2

说明: 串口调试助手V2.2,供您调试串口通信!
<wobiandeyouxiu> 在 上传 | 大小:266240

[电信] LTE MAC相关协议介绍(中兴)

说明: LTE MAC相关协议介绍(中兴) LTE协议现状 MAC相关协议 36.321协议介绍 MAC实现架构
<pansa> 在 上传 | 大小:576512

[电信] HP LJM1130_M1210 打印驱动

说明: HP LJM1130_M1210 打印驱动
<jone33> 在 上传 | 大小:206569472

[电信] TTX解析简介

说明: 从pat表一直解析出teletext信息的全过程。
<aimee_wang> 在 上传 | 大小:13312

[电信] AISG 1.1协议 英文版

说明: AISG 1.1 英文版PDF, 对于搞通讯行业,如天线等方面工作的同学们有帮助
<magicdu> 在 上传 | 大小:1048576

[电信] A New Z-Source DC Circuit Breaker

说明: Abstract—Anovel type of circuit breaker is introduced for operation at medium-voltage dc with future naval ship power systems as a targeted application. The breaker utilizes a z-source LC circuit in order to automatically commutate a main-path SCR d
<hebxjn> 在 上传 | 大小:828416

[电信] allegro16[1].2_经典教程

说明: allegro16[1].2_经典教程.pdf )
<canghaimurong> 在 上传 | 大小:1025024

[电信] NI9213数据采集卡技术文档

说明: National Instruments的16通道温度数据采集卡技术温度
<slscut> 在 上传 | 大小:173056

[电信] 魔方分割工具

说明: 用于rar,zip格式的压缩包的无损分割,小小利器,功能强大。
<xbl_123> 在 上传 | 大小:195584

[电信] 单载波频域均衡(SC-FDE)仿真

说明: 仿真比较了SC-FDE与OFDM均衡性能,包括LMS,Z-F算法,RLS算法。
<new_desheng> 在 上传 | 大小:3072

[电信] Modelsim6.5 keygen下载

说明: ModelSim SE 6.5下载及安装步骤 1 ModelSim SE 6.5下载 在ModelSim网站www.model.com下载ModelSim SE 6.5源文件,进入www.model.com后,进入DOWNLOADS,再进入Download Archives,在MODELSIM SE中选择版本,这里选择SE 6.5,进入请求下载的注册界面,简单注册一下,进入ftp,点击倒数第二个exe文件,下载源文件。点击倒数第一个文件,这是一个安装说明文件,也可以下载下来。 2 安装Mode
<lengbj10> 在 上传 | 大小:879616
« 1 2 ... .52 .53 .54 .55 .56 2757.58 .59 .60 .61 .62 ... 3429 »