您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第2773页

« 1 2 ... .68 .69 .70 .71 .72 2773.74 .75 .76 .77 .78 ... 3429 »

[电信] 物联网无线收发程序(超详细)

说明: 详尽的代码包括无线收和发集合模块程序, 单接收, 单发送模块,还是数据手册说明喔!!!
<lnj_206> 在 上传 | 大小:1048576

[电信] 最优化方法及matlab的实现

说明: 在最优化方法的应用过程中,要讲所学知识应用到解决实际问题,中间往往会有一段距离。有时要面对复杂的数学模型,尤其是复杂的数学计算问题,往往无法入手,因此总是希望能够找到一种具有广泛性和应用性的工具。而本书将最优化方法与matlab结合起来,就能很好的解决人们的这么需求。希望对于工科的科研工作有一定的帮助。
<libai8888> 在 上传 | 大小:3145728

[电信] psse29 潮流格式说明

说明: 有关于psse29潮流格式的详细说明文档,介绍了关于psse中每个字段所具有的意义
<doujiang1216> 在 上传 | 大小:155648

[电信] 爱立信WCDMA无线网络中级技术培训2.

说明: 东西不错,我看过之后受益匪浅 ,大家可以看看
<qiakea> 在 上传 | 大小:1048576

[电信] 爱立信WCDMA无线网络初级技术培训

说明: 东西不错,我看过之后受益匪浅,需要的朋友可以看看
<qiakea> 在 上传 | 大小:50331648

[电信] CDMA 工程应用及优化技术

说明: 东西不错,我看过之后受益匪浅,需要的朋友可以看看
<qiakea> 在 上传 | 大小:4194304

[电信] GSM 网优(内部资料

说明: 东西不错,我看过之后受益匪浅,需要的朋友可以看看
<qiakea> 在 上传 | 大小:89088

[电信] CDMA 移动通信基本原理

说明: 东西不错,我看过之后受益匪浅,需要的朋友可以看看
<qiakea> 在 上传 | 大小:3145728

[电信] CDMA组网及网优

说明: 东西不错,我看过之后受益匪浅,需要的朋友可以看看
<qiakea> 在 上传 | 大小:806912

[电信] 索爱手机维修电路图

说明: 索尼爱立信手机维修电路图和参数。主要介绍各型号手机的电路图。
<weiminkeji88821> 在 上传 | 大小:5242880

[电信] module.asn1-2.rar

说明: ASN.1编解码模块,经典代码,实现了ASN.1各种编码方式的BER,DER,PER,XER的编码解码,是C的经典-ASN.1 encoding and decoding modules, the classic code, and implements the various ASN.1 encoding of the BER, DER, PER, XER encoding decoding, the code is very wonderful, is the classic C
<chricefff> 在 上传 | 大小:116736

[电信] 程控交换机原理

说明: 这是一份描述电信程控交换机原理的文档,出自国内最大的电信设备供应商,权威性不可持挑战.
<zhangkef0904> 在 上传 | 大小:630784
« 1 2 ... .68 .69 .70 .71 .72 2773.74 .75 .76 .77 .78 ... 3429 »