您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第2778页

« 1 2 ... .73 .74 .75 .76 .77 2778.79 .80 .81 .82 .83 ... 3429 »

[电信] CIM模型与关系模型的一种映射方法的探讨

说明: CIM模型与关系模型的一种映射方法的探讨
<kflyingfox> 在 上传 | 大小:184320

[电信] PLC-CC-LINK应用案例(主站程序)

说明: 三菱PLC通讯程序应用实例加源码
<linyabin4914> 在 上传 | 大小:64512

[电信] ISDN信令与交换机对接调试说明(草)

说明: 做CTI项目时,多数使用ISDN信令,但多数系统实施时需要前期的信令调试成功后才可进行下一步工作。这个文档描述了使用语音卡与交换机调试信令的方法
<dgxum> 在 上传 | 大小:951296

[电信] 一个图像处理的毕业设计

说明: 基于MATLAB的图像处理的程序,文中实现了几种滤波算法,增加了图像的信噪比,提高了图像的清晰度。。
<fan8113581> 在 上传 | 大小:1048576

[电信] 摩托A855_写号软件合集.rar

说明: 摩托A855 写号软件合集 写号工具大全 挂EM EVO教程
<xm856719> 在 上传 | 大小:20971520

[电信] 滤波器理论

说明: 全面讲解滤波器的概念和相关理论,分析和讲述滤波方法。
<lrvvvvvv> 在 上传 | 大小:7340032

[电信] ISO/IEC 13818-7

说明: MPEG-2 Audio AAC Standard
<luohuamin> 在 上传 | 大小:1048576

[电信] ISO/IEC 13818 -2

说明: MPEG-2 Video standard
<luohuamin> 在 上传 | 大小:959488

[电信] JM Reference Software Manual

说明: This document contains a detailed descr iption of the usage of the H.264/14496-10 AVC reference software, and more specifically version 16.01. This includes information about the encoder and decoder input parameters, syntax, compilation issues, and
<wuyue821406432> 在 上传 | 大小:956416

[电信] OPNET用户指南(翻译版)

说明: opnet上自带的用户指南的中文翻译版,不过只是重要部分的翻译
<yinuxer> 在 上传 | 大小:1048576

[电信] OPNET_Modeler核心函数

说明: OPNET_Modeler核心函数,opnet里面编程用到的核心函数的介绍。
<yinuxer> 在 上传 | 大小:9437184

[电信] OPNET学习手册(经验本)

说明: OPNET学习手册(经验本),前辈高人的opnet学习经验及建议
<yinuxer> 在 上传 | 大小:2097152
« 1 2 ... .73 .74 .75 .76 .77 2778.79 .80 .81 .82 .83 ... 3429 »