您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第2868页

« 1 2 ... .63 .64 .65 .66 .67 2868.69 .70 .71 .72 .73 ... 3429 »

[电信] 虚拟串口软件

说明: 很好用的虚拟串口软件,可以用来和proteus软件进行串口通讯
<zhaoyanfeng520> 在 上传 | 大小:2097152

[电信] Bright_Spark 1.10

说明: 电路模拟软件 bright_Spark 1.10
<zhaoyanfeng520> 在 上传 | 大小:4194304

[电信] drawing animation with metapost

说明: drawing animation with metapost
<damlaoqi> 在 上传 | 大小:176128

[电信] OFDM Visible Light Wireless Communication Based on LEDs

说明: OFDM Visible Light Wireless Communication Based on LEDs
<lovelymini123> 在 上传 | 大小:396288

[电信] Random Matrix Theory and Wireless Communications

说明: Random Matrix Theory and Wireless Communications
<opticsoliton> 在 上传 | 大小:1048576

[电信] IEC 17626.1

说明: GB/T 17626.1-1998 电磁兼容 试验和测量技术 抗扰度试验总论
<kurosaki1hu> 在 上传 | 大小:1048576

[电信] 数字电路课程设计

说明: 数字电路课程设计关于数字钟的很实用。。。
<w442766824> 在 上传 | 大小:433152

[电信] HDL DUMB PS2工具

说明: PS2的HDL工具,可以传输游戏,修改HDL文件等
<shinobiz> 在 上传 | 大小:30720

[电信] 北峰350 370 写频软件

说明: 北峰对讲机350 370 390写频软件 ,给大家共享。
<le5258> 在 上传 | 大小:3145728

[电信] Qualcom CDMA.doc

说明: CDMA系统的主要优点;CDMA中使用的地址码;功率控制;CDMA系统性能分析的具体步骤..
<yhx0937> 在 上传 | 大小:695296

[电信] moonlight shandow

说明: 一首好听的英文歌!你们可以好好听听,真的不骗你们撒!
<love_xiaoniangzi> 在 上传 | 大小:1048576

[电信] 纠错编码大作业题目

说明: 与纠错编码相关的论文要求以及格式安排,很有用
<xiaoxiangzi520> 在 上传 | 大小:117760
« 1 2 ... .63 .64 .65 .66 .67 2868.69 .70 .71 .72 .73 ... 3429 »