您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第2871页

« 1 2 ... .66 .67 .68 .69 .70 2871.72 .73 .74 .75 .76 ... 3429 »

[电信] 华为ATM技术

说明: 华为ATM技术
<liyongkui1991> 在 上传 | 大小:989184

[电信] 通信行业最齐全的英语缩语手册

说明: 通信行业最齐全的英语缩语手册
<liyongkui1991> 在 上传 | 大小:683008

[电信] 神经网络预测

说明: 神经网络 利用反向传播(BP)网络 预测 实例
<haihaizyj> 在 上传 | 大小:1024

[电信] 深刻理解傅里叶变换

说明: 深刻理解傅立叶变换,从数学的角度描述了傅立叶变换的意义,结认识傅立叶变换的有一定帮助
<denqinyao> 在 上传 | 大小:1034240

[电信] 生成前导序列的方法及确定循环移位步长的方法

说明: 生成前导序列的方法及确定循环移位步长的方法,用于LTE中PRACH的前导序列发送。
<nocefly> 在 上传 | 大小:488448

[电信] ASN.1经典教程

说明: ASN.1经典教程, ASN.1 Complete by Prof John Larmouth
<nocefly> 在 上传 | 大小:1048576

[电信] pl-2303hx-win7-64位驱动

说明: 凤凰双频读卡器win7 64位驱动,很多人需要的,但试过写卡OK,解卡会有不稳定的情况出现。
<huimao2106> 在 上传 | 大小:1048576

[电信] 东元伺服通用型JSDA使用手册.pdf

说明: 东莞市富创工业自动化设备有限公司___东元伺服通用型JSDA使用手册.pdf
<dgfc123> 在 上传 | 大小:7340032

[电信] IEC61850标准的研究及通信网关的设计与应用

说明: IEC61850标准的研究及通信网关的设计与应用
<skdzhangxuehui> 在 上传 | 大小:1048576

[电信] garmin地图解锁程式【含使用说明】

说明: garmin地图解锁程式【含使用说明】
<bevanxiong> 在 上传 | 大小:144384

[电信] BER编码规则

说明: BER 编码规则。等同IEC61334-6.
<wengang0402> 在 上传 | 大小:1048576

[电信] honeywell智能家居方案

说明: honeywell 智能家居方案 全面的智能家居解决方案
<lili1960> 在 上传 | 大小:1048576
« 1 2 ... .66 .67 .68 .69 .70 2871.72 .73 .74 .75 .76 ... 3429 »