您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第2875页

« 1 2 ... .70 .71 .72 .73 .74 2875.76 .77 .78 .79 .80 ... 3429 »

[电信] MCOM,强大的化身,拥有它就拥有钱途

说明: 很强大的一个软件,而且是破解的最新版本.如果你喜欢,而且有兴趣的话,多点研究这个,会让你的工资变厚。。不多说了,你们懂的
<cdhandy16> 在 上传 | 大小:8388608

[电信] 在LTE_Advanced网络下的Device_to_Device通信.pdf

说明: 在LTE_Advanced网络下的Device_to_Device通信.pdf,在LTE_Advanced网络下的Device_to_Device通信.pdf在LTE_Advanced网络下的Device_to_Device通信.pdf在LTE_Advanced网络下的Device_to_Device通信.pdf
<guoshenghai> 在 上传 | 大小:208896

[电信] HDB3编码、译码

说明: HDB3编码、译码的详细资料,帮助用FPGA实现HDB3编译码代码。
<vince2050> 在 上传 | 大小:23552

[电信] Ethernet-over-PDH技术概要

说明: Ethernet-over-PDH技术概要,详细介绍了以太网上PDH的处理过程。
<vince2050> 在 上传 | 大小:179200

[电信] E1帧结构 总结

说明: E1帧结构总结,包括基本原理,CRC复帧结构,CAS复帧结构等,对开始做通信相关的人有用
<vince2050> 在 上传 | 大小:251904

[电信] 高音质迷你音质播放器

说明: 比一般的 哭够,,千千静听什么的要好很多
<jiaxing633> 在 上传 | 大小:790528

[电信] Q.931协议分析(全)

说明: 详细讲解Q931协议在ISDN通信中的使用,对于初学Q931的同学来说,更容易理清思路,还有例子讲解。
<bjbzcg> 在 上传 | 大小:2097152

[电信] GPS基础资料

说明: 包括:3G中的A-GPS移动定位技术.doc、CPU结构电路.pdf、GPS基础.doc、GPS术语.doc、GPS原理.doc。
<tyxkzzf> 在 上传 | 大小:4194304

[电信] GPS资料之设计

说明: 包含:GPS3500_NEW_0905.DSN、GPS模块原理图.pdf、GPS设计全攻略.pdf、PNV402_S.pdf:都是关于GPS模块设计的,电路方面的。
<tyxkzzf> 在 上传 | 大小:1031168

[电信] 摸电牛人修炼心得

说明: 是一个牛人模电修炼的心得,对模电不擅长,或者有志从事模电工作的人,特别市硬件工程师,电子工程师等。模电是电学之母,可以这么说。所以很有启发意义
<fenglongu2> 在 上传 | 大小:34816

[电信] 关于IP微波应用于WLAN接入传输的解决方案

说明: 关于IP微波应用于WLAN接入传输的解决方案
<shiliuxiao001> 在 上传 | 大小:109568

[电信] usb3.0规范

说明: USB3.规范
<tyzzd> 在 上传 | 大小:3145728
« 1 2 ... .70 .71 .72 .73 .74 2875.76 .77 .78 .79 .80 ... 3429 »