您好,欢迎光临本网站![请登录][注册会员]  

行业下载,嵌入式下载列表 第605页

« 1 2 ... .00 .01 .02 .03 .04 605.06 .07 .08 .09 .10 ... 3157 »

[嵌入式] elf文件转换hex文件小程序

说明:将elf文件转换为hex文件的小程序,使用前安装arm-none-eabi编译工具链,复制到elf文件所在目录双击执行,若当前文件没有.elf文件,则会提示 No such file,若转换成功则会在目录内生成HexFile.hex文件
<sin1111yi> 上传 | 大小:65kb

[嵌入式] USB_PD_R3_0 V1.1.zip

说明:USB PD3.0协议详解,USB IF最新发布的usb power delivery资料 Andrea Colognese Davide ghedin Canova tech Nicola scantamburlo Canova tech Yi-Feng lin Canyon Semiconductor Yuhung lin canyon Semiconductor
<qq_19582165> 上传 | 大小:7mb

[嵌入式] 智能轮胎 - 副本.rar

说明:将采集到的MPU6050三轴加速度、DS18B20采集到的温度还有其他ADC传感器数据通过HC05蓝牙模块传到PC或者其他蓝牙主机端
<weixin_47460275> 上传 | 大小:3mb

[嵌入式] HX7002A_7.0WU_spec_v3.pdf

说明:This module is a TFT (Thin Film Transistor) active matrix LCD (Liquid Crystal Display) module. Each module comprises:  a 7.02” amorphous silicon colour LTPS TFT panel with IPS free angel technology.  panel driver electronics  a 4-Line MIPI interfa
<iyandy> 上传 | 大小:1mb

[嵌入式] NDI_Enc.zip

说明:library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library NDI_Enc; use NDI_Enc.NDI_Pkg.all; component Enc_Core_E is generic ( CORE_ID : integer := 0; DEBUG : integer := 0; AVL_ADDR_WI
<iyandy> 上传 | 大小:303kb

[嵌入式] NDI_Dec.zip

说明:library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library NDI_Dec; use NDI_Dec.NDI_Pkg.all; component Dec_Core_E is generic ( CORE_ID : integer := 0; VID_ADDR_WIDTH : integer := 32; VI
<iyandy> 上传 | 大小:401kb

[嵌入式] ndi_encode.zip

说明:// This file is provided under the NDI Embedded SDK license that is contained // within the Embedded SDK documentation and is subject to the terms there-in. // Your use of any part of this SDK is acknowledgment that you agree to the SDK // license te
<iyandy> 上传 | 大小:46kb

[嵌入式] seed-xds560plus jtag驱动.rar

说明:基于ccs开发工具,该jtag可以调试f2810,f2811,f2812等芯片。在使用的时候jtag可能会不好连接,这个时候可以使用安装jtag驱动之后的SEED-XDS560PLUS Version工具进行探测。
<wit_yuan> 上传 | 大小:15mb

[嵌入式] 舵机控制(PWM1 50HZ).zip

说明:使用新唐N76E003单片机的内部PWM1实现舵机的控制,舵机频率是50HZ,分别占空比为1ms和2ms,其内部PWM精准,使用示波器观察周期20ms,高电平分别为1ms和2ms
<feifeiccode> 上传 | 大小:78kb

[嵌入式] 博图环境下Modbus-RTU应用入门之任务轮询.pdf

说明:在博图环境下用梯形图(LAD)编写一个标准化的,多任务通用的,适应性强的,可移植性强的,Ready for Use的Modbus-RTU主站通讯程序
<yinyan5218> 上传 | 大小:2mb

[嵌入式] Dvp_ov9281.cpp

说明:摄像头9281 ,寄存器初始化配置,需要可以进一步商量。可以提供完整的.cpp.来啊,走起来啊,可以很好用的
<weixin_42363409> 上传 | 大小:3kb

[嵌入式] 机器人逆动力学仿真.zip

说明:机器人逆动力学控制,包括PD控制控制,重力补偿PD控制,鲁棒控制等仿真程序。仿真环境为simulink。
<weixin_38291293> 上传 | 大小:12kb
« 1 2 ... .00 .01 .02 .03 .04 605.06 .07 .08 .09 .10 ... 3157 »