您好,欢迎光临本网站![请登录][注册会员]  

课程资源下载,软件测试下载列表 第2479页

« 1 2 ... .74 .75 .76 .77 .78 2479.80 .81 .82 .83 .84 ... 2492 »

[软件测试] 软件文档写作 PPT教案

说明: 软件文档写作 软件开发过程 文档基本定义、规范等 软件文档及其组成 软件文档国家标准
<apple12344321> 在 上传 | 大小:593920

[软件测试] QTP讲义,qtp的学习

说明: QTP的全面介绍,功能,以及使用方法,安装步骤,等等
<fuyou0011> 在 上传 | 大小:4194304

[软件测试] icepdf read me

说明: icepdf read me............
<henry0919> 在 上传 | 大小:1024

[软件测试] DDS直接数字频率信号发生器

说明: DDS信号发生器,可以产生纯正的正弦波,输出频率并连续可调的正弦波。
<zq1057978105> 在 上传 | 大小:780288

[软件测试] Verilog制作DDS

说明: 用Verilog程序制作DDS,实现直接数字频率合成,即函数信号发生器设计。
<zq1057978105> 在 上传 | 大小:15360

[软件测试] 混合电动车用超级电容能量源建模

说明: 是关于超级电容的 很有用的 欢迎下载 欢迎欢迎
<boybody007> 在 上传 | 大小:386048

[软件测试] ANSYS10.0安装方法(最重要).doc

说明: 好hhhhhhhhhhhhhhh红红火火哈哈哈
<hnwangwenguang> 在 上传 | 大小:306176

[软件测试] gameloft智乐软件(成都)游戏测试笔试及面试题

说明: gameloft智乐软件(成都)的游戏测试笔试及面试题
<yahoochl> 在 上传 | 大小:71680

[软件测试] VJC1.5机器人仿真环境及例子参考资料

说明: VJC1.5机器人仿真环境及例子参考资料
<c2green> 在 上传 | 大小:95232

[软件测试] 简一个比较系统下位机与PC之间通信VB程序

说明: 串行通信的一个简单例子,描述了串口通信的基本步骤,设置串口参数,握手协议和初始化缓冲区。
<wwl0711> 在 上传 | 大小:11534336

[软件测试] TestComplete7

说明: 本附件非常全面的讲解了tc使用方法以及函数调用
<herrying_hull> 在 上传 | 大小:13631488

[软件测试] 软件测试的起源与发展

说明: 介绍了软件测试模型的演变,软件测试工具的发展
<yiheyuan> 在 上传 | 大小:67584
« 1 2 ... .74 .75 .76 .77 .78 2479.80 .81 .82 .83 .84 ... 2492 »