您好,欢迎光临本网站![请登录][注册会员]  

课程资源下载,软件测试下载列表 第2480页

« 1 2 ... .75 .76 .77 .78 .79 2480.81 .82 .83 .84 .85 ... 2492 »

[软件测试] ClearType+大幅改善液晶屏字体清晰度

说明: ClearType+大幅改善液晶屏字体清晰度v1.rar
<mmggtty> 在 上传 | 大小:93184

[软件测试] 软件测试技术体系,测试基础

说明: 软件测试行业的概况,软件测试行业的职业规划以及测试基础知识的讲解,软件测试相关知识的讲解。
<tymmm> 在 上传 | 大小:321536

[软件测试] atpdraw5.7安装软件

说明: atpdraw5.7安装软件 安装时,注意不要改动根目录
<aiyuemolin> 在 上传 | 大小:2097152

[软件测试] PROTEUS简明教程

说明: PROTEUS教程,可以供大家自己学习PROTEUS教程PROTEUS教程
<liao594218572> 在 上传 | 大小:3145728

[软件测试] 51单片机频率计的设计的开题报告

说明: 51单片机频率计的设计的开题报告.本课题设计以单片机为核心,采用组合法设计的一种数字频率计,应用单片机中的定时器/计数器和中断系统完成频率的测量。
<umrao> 在 上传 | 大小:1048576

[软件测试] EngaugeDigitizer

说明: 图形数字化软件-Engauge Digitizer,可以将曲线图转化为数据与等式
<wangliang0329> 在 上传 | 大小:6291456

[软件测试] UML初学者指南.chm

说明: UML初学者指南UML初学者指南UML初学者指南UML初学者指南
<soprettyzz> 在 上传 | 大小:2097152

[软件测试] 软件测试 单元测试 单元测试 集成测试

说明: 基本的软件测试的理论知识,有关一些测试方法,测试理论和测试标准的一些讲解
<zhangnanguozi> 在 上传 | 大小:1048576

[软件测试] Packet Tracer 中文使用教程

说明: 网络上有相关Packet Tracer的所谓“教程”,但是都只是皮毛。今天我从以下三个方面入手介绍Packet Tracer 5.0这个软件:熟悉界面,设备管理,实战
<lxaomnet> 在 上传 | 大小:332800

[软件测试] 带端点延拓的EMD和原始EMD的matlab程序

说明: 压缩包内有2个M文件,分别是带端点延拓的EMD分解程序和不带端点延拓的EMD分解程序
<hivdg> 在 上传 | 大小:12288

[软件测试] MATLAB a2009汉化包

说明: 软件类别:汉化补丁/编程工具 软件授权:免费版 运行环境:Winxp/vista/win7/2003
<woderensheng110> 在 上传 | 大小:1048576

[软件测试] lindo软件一个解决二次线性整数规划问题的方便而强大的工具

说明: LINDO(Linear, INteractive, and Discrete Optimizer)是一个解决二次线性整数规划问题的方便而强大的工具。这些问题主要出现在商业、工业、研究和政府等领域
<nob77> 在 上传 | 大小:2097152
« 1 2 ... .75 .76 .77 .78 .79 2480.81 .82 .83 .84 .85 ... 2492 »