您好,欢迎光临本网站![请登录][注册会员]  

信息化下载,电子商务下载列表 第587页

« 1 2 ... .82 .83 .84 .85 .86 587.88 .89 .90 .91 .92 ... 1004 »

[电子商务] 小土孩美丽说自动分享机2.0

说明: 自动分享淘宝商品到美丽说,先收集您的淘宝宝贝地址然后导入,登录美丽说帐号设置分享
<hidehacher> 上传 | 大小:2mb

[电子商务] rm网络电话手机版

说明: rm网络电话手机版,安卓系统,免费通话,通话质量高,安全
<qlm1986> 上传 | 大小:458kb

[电子商务] eeeeeeeeeeeeeeeeeeeeeeeeeee

说明: eeeeeeeeeeeeeeeeeeee
<gcsdfaksh> 上传 | 大小:65kb

[电子商务] 云计算技术在电子商务信息安全中的应用

说明: 一篇关于“云计算技术在电子商务信息安全中的应用”的论文
<jackwang2013> 上传 | 大小:782kb

[电子商务] C2C案例分析

说明: C2C简介,实例分析,主要对淘宝和易趣进行案例分析
<hello_baixiaobai> 上传 | 大小:2mb

[电子商务] pp助手

说明: 率先采用智能自我升级技术,一键全自动化升级,更能轻松将PXL软件升级至IPA版本。资源每天以上千数量增加,更新飞速,让您时时快人一步
<q824523386> 上传 | 大小:4mb

[电子商务] sogou_pinyin_65_6650

说明: sogou_pinyin_65_6650
<swj013> 上传 | 大小:26mb

[电子商务] 基于fpga的ad采样

说明: 基于fpga与ad之间的高速采样, LCD_EN : out std_logic; --液晶时钟信号 ad_in:in std_logic_vector(7 downto 0); LCD_Data : out std_logic_vector(7 downto 0)); --液晶数据信号 end LCD1602; architecture Behavioral of LCD1602 is type state is (set_dlnf,set_cursor,set_dcb,set_cgram,
<xin_yi123> 上传 | 大小:2mb

[电子商务] 国内外电子商务发展

说明: 国内外电子商务发展情况介绍,以及发展趋势
<shishi_> 上传 | 大小:19kb

[电子商务] 测温万年历

说明: 基于89s52单片机的液晶显示的测温万年历
<xzp13845988530> 上传 | 大小:23kb

[电子商务] 截图工具,方便

说明: 运用QQ截图文件制作,不打开QQ,照样截图,右击开始菜单,选择“课件截图”
<ntlclxyc> 上传 | 大小:142kb

[电子商务] Microsoft Word

说明: Microsoft Word 2003,标准教程
<hao09098098> 上传 | 大小:49mb
« 1 2 ... .82 .83 .84 .85 .86 587.88 .89 .90 .91 .92 ... 1004 »