您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. ALU设计 用Verilog HDL

  2. 用Verilog HDL设计一个模块,该模块实现了一个4bit的ALU,可以对两个4bit二进制操作数进行算术运算和逻辑运算   算术运算包括加法与减法   逻辑运算包括与运算、或运算   设计一个模块,利用Verilog HDL模块元件实例化的能力来调用4bit ALU的模块,从而将两个4bit ALU扩展为一个8bit ALU(详见原理框图)   用提供的4bit ALU测试模块对所实现的4 bit ALU进行仿真测试   用提供的8bit ALU测试模块对所实现的8 bit ALU进行仿
  3. 所属分类:C/C++

    • 发布日期:2013-04-16
    • 文件大小:2048
    • 提供者:u010312201
  1. VHDL编程中的ALU

  2. VHDL编程 ALU元件 代码文件
  3. 所属分类:其它

    • 发布日期:2013-06-04
    • 文件大小:993280
    • 提供者:u010946302
  1. ALU练习,from Uni_Freiburg

  2. VHDL代码编写的ALU模块,from弗莱堡大学IMTEK
  3. 所属分类:硬件开发

    • 发布日期:2013-06-10
    • 文件大小:963584
    • 提供者:u011019928
  1. VHDL实现八位十进制ALU

  2. 这是电子科技大学通信抗干扰国防重点实验室的实验项目。 内含44个VHD文件构成完成的ALU,可完成八位十进制加减乘除 具有溢出、四舍五入、连续运算等功能 自带TestBench 编译环境ISE 14.3 具有相当的参考价值
  3. 所属分类:专业指导

    • 发布日期:2013-07-08
    • 文件大小:61865984
    • 提供者:uestc_ip
  1. VERILOG实现的4位 ALU 模块实现 5种运算

  2. VERILOG实现的4位 ALU 模块实现 5种运算 加减 与或非
  3. 所属分类:硬件开发

    • 发布日期:2013-12-08
    • 文件大小:362496
    • 提供者:pkyou81
  1. ALU OLC introduction

  2. ALU OLC introduction(Dec)
  3. 所属分类:电信

    • 发布日期:2014-01-08
    • 文件大小:2097152
    • 提供者:winnybear
  1. VHDL ALU设计

  2. vhdl alu 设计 加法 减法 乘法 除法
  3. 所属分类:嵌入式

    • 发布日期:2014-03-27
    • 文件大小:368640
    • 提供者:u014399473
  1. 基于VHDL的16位ALU简易设计

  2. 基于VHDL的16位ALU简易设计,可完成基本的加减、带进位加减、或、与等运算。
  3. 所属分类:硬件开发

    • 发布日期:2014-05-01
    • 文件大小:4096
    • 提供者:u012412518
  1. ALU设计简介及源代码

  2. ALU原理及代码 包括加法、减法、乘法、布尔算法等
  3. 所属分类:嵌入式

    • 发布日期:2014-05-03
    • 文件大小:357376
    • 提供者:hai_hai__hai
  1. ALU verliog代码

  2. 这是使用verilog编写的ALU的代码
  3. 所属分类:软件测试

    • 发布日期:2014-05-16
    • 文件大小:499712
    • 提供者:louisia
  1. ALU处理器计算

  2. ALU处理器,计算,aluctr用于控制计算类型,A,B口为输入,C口为输出
  3. 所属分类:硬件开发

    • 发布日期:2014-05-18
    • 文件大小:962
    • 提供者:qq_15562173
  1. FPGA的alu代码

  2. alu的代码程序,是包含加减乘除以及或的用算
  3. 所属分类:其它

    • 发布日期:2014-06-15
    • 文件大小:358
    • 提供者:u010013126
  1. 具有乘法辅助功能的算术ALU设计

  2. 具有乘法辅助功能的算术ALU设计 有用的可以下来看看
  3. 所属分类:其它

    • 发布日期:2014-07-07
    • 文件大小:615424
    • 提供者:u010427723
  1. verilog中用函数写alu

  2. verilog hdl中,用函数写alu算术逻辑单元,输入ab分别为三位,能执行四种运算
  3. 所属分类:其它

    • 发布日期:2014-12-10
    • 文件大小:207
    • 提供者:fengdeninanfly
  1. ALU 设计方法

  2. 数字电路中采用HDL语言如何设计ALU的方法 有详细讲解
  3. 所属分类:硬件开发

    • 发布日期:2015-01-11
    • 文件大小:382976
    • 提供者:qininq
  1. 算术逻辑单元ALU的VHDL代码

  2. 完整的算术逻辑单元(ALU)的 VHDL 代码! 包含其testbench代码!
  3. 所属分类:硬件开发

    • 发布日期:2015-02-03
    • 文件大小:14336
    • 提供者:pbw1994
  1. 逻辑、算术运算单元ALU

  2. 包括逻辑运算,算术运算的ALU,计算机组成原理的实验!!!绝对能通过...VHDL语言编译
  3. 所属分类:专业指导

    • 发布日期:2008-10-30
    • 文件大小:3072
    • 提供者:yuedingdexue
  1. 8bit alu流水设计思路

  2. 8bit alu流水设计思路,从结构上分析设计
  3. 所属分类:其它

    • 发布日期:2015-06-08
    • 文件大小:3145728
    • 提供者:qq_25728579
  1. 用ISE设计的32位ALU

  2. 用XILINX的ISE2014.4开发的32位ALU。已经过仿真调试。
  3. 所属分类:硬件开发

    • 发布日期:2015-11-10
    • 文件大小:506880
    • 提供者:qq_20091945
  1. Verilog HDL8位ALU

  2. 用Verilog HDL语言实现的一个8位ALU硬件电路
  3. 所属分类:硬件开发

    • 发布日期:2015-11-17
    • 文件大小:942
    • 提供者:u010176786
« 1 2 34 5 6 7 8 9 10 ... 24 »