您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 多核处理器高性能ALU单元设计研究

  2. 多核处理器高性能ALU单元设计研究
  3. 所属分类:嵌入式

    • 发布日期:2017-07-09
    • 文件大小:3145728
    • 提供者:qdlysports
  1. 计组实验 alu设计

  2. 给出了简单的alu的设计方法和实现过程,实验过程比较详细
  3. 所属分类:专业指导

    • 发布日期:2008-12-25
    • 文件大小:284672
    • 提供者:hking1991
  1. Verilog编写的32位ALU(运算器)

  2. 用Verilog编写的32位ALU(运算器),具有与、或逻辑运算;加、减算术运算;小于置一,零检测,以及溢出检测等功能。其中加法运算是采用了快速进位链
  3. 所属分类:专业指导

    • 发布日期:2009-03-06
    • 文件大小:9216
    • 提供者:lqay11
  1. 计算机组成原理ALU Verilog ise文件

  2. 这是计算机组成原理中ALU的程序代码,用Verilog语言,ISE运行的。
  3. 所属分类:硬件开发

    • 发布日期:2018-04-22
    • 文件大小:37888
    • 提供者:sinat_40381653
  1. 计组16位ALU课程设计

  2. 北邮计组课程设计16位ALU,有需要的可以看看。。。。。
  3. 所属分类:C/C++

    • 发布日期:2018-09-05
    • 文件大小:75776
    • 提供者:qq_26407469
  1. verilog编写的ALU

  2. verilog编写,八位ALU,加减与或比较 (verilog prepared eight ALU, subtract, or compare with)
  3. 所属分类:硬件开发

    • 发布日期:2018-09-25
    • 文件大小:2048
    • 提供者:archenal
  1. 计算机组成原理实验课程 实验一 运算器设计(加法器设计)8位可控加减法器设计、32位算术逻辑运算单元ALU设计alu.circ

  2. 8位可控加减法器设计、32位算术逻辑运算单元ALU设计、四位先行进位74182、四位快速加法器 、8位快速加法器、16位快速加法器、5位阵列乘法、6位补码阵列乘法器等电路,已画好。alu自动测试是100分。
  3. 所属分类:Linux

    • 发布日期:2020-05-16
    • 文件大小:727040
    • 提供者:CN_EventHorizon
  1. 华中科技大学计算机组成原理实验二运算器实验Logisim源文件8位可控加减法器设计32位算术逻辑运算单元ALU设计

  2. .circ文件。华中科技大学计算机组成原理实验二运算器实验Logisim源文件,里面有8位可控加减法器设计、32位算术逻辑运算单元ALU设计、四位先行进位74182、四位快速加法器 、8位快速加法器、16位快速加法器、5位阵列乘法、6位补码阵列乘法器等电路,已经连接画好了。alu自动测试是100分。
  3. 所属分类:专业指导

    • 发布日期:2020-05-06
    • 文件大小:41984
    • 提供者:OldHuangC
  1. 多功能ALU设计实验.docx

  2. ALU设计,包含源码及仿真等内容,比较简单,仅供参考。
  3. 所属分类:其它

    • 发布日期:2020-04-24
    • 文件大小:151552
    • 提供者:qq_43807385
  1. ALU(1).circ

  2. 计算机组成原理课程,通过Logisim软件设计ALU电路设计,参考华中科技大学讲解,完成电路
  3. 所属分类:Windows Server

    • 发布日期:2019-07-04
    • 文件大小:399360
    • 提供者:qq_43651290
  1. 基于vivado的ALU源代码

  2. 这是硬件编程最基础的元件。加法器最基础的元件就是ALU单元,所有的加法器都要基于ALU来设计。此文件提供了ALU的vivado源代码
  3. 所属分类:专业指导

  1. 华中科技大学计算机组成原理实验记录 32位ALU设计实验(运算器设计) circ文件

  2. 华中科技大学计算机组成原理实验记录 32位ALU设计实验(运算器设计) circ文件 可直接执行。
  3. 所属分类:讲义

    • 发布日期:2020-06-21
    • 文件大小:831488
    • 提供者:qq_46433583
  1. 一种结构简单的低压低功耗ALU单元设计

  2. 1位的ALU单元在某些集成电路的设计中非常重要,本文提出了一种结构简单的高速,低功耗,低工作电压的ALU单元。在此设计中采用了XOR/XNOR结构,并加入了适当的缓冲电路,有效的提高了运算速度,并可以减少在级连中的阀值损失,同时还保持了较低的MOS管数量。通过HSPICE(CSMC 0.35um工艺)仿真,得了很好的特性。
  3. 所属分类:其它

    • 发布日期:2020-07-28
    • 文件大小:75776
    • 提供者:weixin_38570145
  1. 半加器全加器ALU的VHD文件

  2. 使用Xilinx VHDL语言 实现半加器全加器ALU的模拟 其中ALU_cell ALU4 ALU32是逐步实现ALU的深入化
  3. 所属分类:专业指导

    • 发布日期:2011-01-04
    • 文件大小:3072
    • 提供者:Gwoz_
  1. 一种结构简单的低压低功耗ALU单元设计

  2. 1位的ALU单元在某些集成电路的设计中非常重要,本文提出了一种结构简单的高速,低功耗,低工作电压的ALU单元。在此设计中采用了XOR/XNOR结构,并加入了适当的缓冲电路,有效的提高了运算速度,并可以减少在级连中的阀值损失,同时还保持了较低的MOS管数量。通过HSPICE(CSMC 0.35um工艺)仿真,得了很好的特性。
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:226304
    • 提供者:weixin_38600341
  1. 基于FPGA的32位ALU软核设计

  2. 介绍了一种基于可编程逻辑器件FPGA和硬件描述语言VHDL的32位ALU的设计方法。该ALU采取层次化设计方法,由控制模块、逻辑模块、加减法模块、乘法模块和除法模块组成,能实现32位有符号数和无符号数的加减乘除运算,另外还能实现9种逻辑运算、6种移位运算以及高低字节内容互换。该ALU在QuartuslI软件环境下进行了功能仿真,通过验证表明,所设计的ALU完全正确,可供直接调用。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:138240
    • 提供者:weixin_38720322
  1. 用户线接口芯片Am79R70在交换机ALU的应用

  2. 摘要:Am79R70是Legerity公司生产的模拟用户线接口电路(SLIC)芯片,它能提供连续可调的电流馈电,可在其控制端C1、C2和C3输入不同的逻辑电平以控制芯片的工作方式。文章介绍了Am79R70的性能特点及其在用户接口单元(ALU)中的应用。 关键词:ALU; 编解码; SLIC; 摘挂机检测; Am79R70 分类号:TN916 文献标识码:B 文章编号:1006-6977(2003)01-0065-03 Am79R70是Legerity公司生产的一种功能较强的用户线接口芯片,
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:149504
    • 提供者:weixin_38642285
  1. 数字逻辑 ALU模块实现及测试文件

  2. 包含alu.v 及 alu_tb.v
  3. 所属分类:嵌入式

    • 发布日期:2020-12-22
    • 文件大小:1024
    • 提供者:qq_46017965
  1. Verilog实现ALU的代码

  2. Verilog实现ALU的代码
  3. 所属分类:硬件开发

    • 发布日期:2020-12-19
    • 文件大小:190464
    • 提供者:weixin_43074474
  1. 适用于现代微处理器的应用驱动的高效ALU设计方法

  2. 在本文中,我们提出了一种应用驱动的ALU设计方法,以实现现代微处理器的高能效水平。我们介绍了一种PN选择算法(PNSA),使设计人员可以根据对动态电路的详细分析,为不同的应用选择高能效的动态模块。在ISCAS85和74X系列基准电路上的实验结果表明,与传统的动态ALU设计相比,基于这种方法的8位ALU的功耗在不同的频率水平上可以降低54%-60%。提出的基于应用程序驱动的定制ALU设计方法。
  3. 所属分类:其它

    • 发布日期:2021-03-18
    • 文件大小:847872
    • 提供者:weixin_38557838
« 1 2 3 45 6 7 8 9 10 ... 24 »