您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 算术逻辑部件设计

  2. ALU设计 超前进位链方式往上下的,共享出来,请尊重原作版权!!!
  3. 所属分类:Java

    • 发布日期:2007-08-07
    • 文件大小:382976
    • 提供者:mengfeicv
  1. 四位ALU算术逻辑单元设计实验

  2. 一. 实验目的 1. 了解ALU(算术逻辑单元)的功能和使用方法; 2. 认识和掌握超前(并行)进位的设计方法; 3. 认识和掌握ALU的逻辑电路组成; 4. 认识和掌握ALU的设计方法。
  3. 所属分类:专业指导

    • 发布日期:2009-06-13
    • 文件大小:121856
    • 提供者:Dylan_zb
  1. 实现16种运算的ALU.rar 实现16种运算的ALU.rar

  2. 实现16种运算的ALU.rar 实现16种运算的ALU.rar 实现16种运算的ALU.rar 实现16种运算的ALU.rar
  3. 所属分类:专业指导

    • 发布日期:2009-10-04
    • 文件大小:416768
    • 提供者:coptics
  1. 4位BCD码加法器的设计

  2. ALU的设计与仿真—4位BCD码加法器的设计 本次的设计内容是ALU的设计与仿真—4位BCD码加法器的设计。
  3. 所属分类:嵌入式

    • 发布日期:2009-12-17
    • 文件大小:474112
    • 提供者:wc3918
  1. 4位算术逻辑单元(ALU)的设计

  2. LU的算数运算主要以加、减法为主,至于乘法、除法则可用“移位”配合“加法”的方法加以处理,即可完成运算。虽然逻辑运算的种类很多,但实际上ALU中的逻辑电路单元通常只处理AND、OR、XOR、NOT这四种运算,其它的各种逻辑运算都可以由布尔代数化简,只需用AND、OR、XOR、NOT这四种运算便可完成。最后,将算术单元电路和逻辑单元电路组合起来,成为功能完整的算术逻辑单元。具体框图如下图所示:
  3. 所属分类:专业指导

    • 发布日期:2010-01-08
    • 文件大小:60416
    • 提供者:yinheng1314
  1. ALU :4位带进位的加法器。带有两个锁存器IR1、IR2。由S0、S1、S2、S3、CN、N控制信号设置其运行状态。S0、S1、S2、S3控制ALU的运算方式;同时当二进制开关N=1是进行逻辑运算,当N=0是进行算术运算。CN 是ALU的

  2. ALU :4位带进位的加法器。带有两个锁存器IR1、IR2。由S0、S1、S2、S3、CN、N控制信号设置其运行状态。S0、S1、S2、S3控制ALU的运算方式;同时当二进制开关N=1是进行逻辑运算,当N=0是进行算术运算。CN 是ALU的进位控制开关,当CN=0是无进位;CN=1是带进位。
  3. 所属分类:专业指导

    • 发布日期:2010-07-05
    • 文件大小:21504
    • 提供者:weitao_de_angel
  1. 32bit ALU verilog code

  2. 根据ALUop的不同,可以实现加、减、或、乘、除、取模运算,你也可以在此基础上增加其他运算。
  3. 所属分类:专业指导

    • 发布日期:2010-12-02
    • 文件大小:19456
    • 提供者:lisajelly
  1. 计算机组成原理四位ALU算术逻辑单元设计实验实验

  2. 四位ALU算术逻辑单元 word文档,适合中山大学学生使用
  3. 所属分类:专业指导

    • 发布日期:2010-12-13
    • 文件大小:147456
    • 提供者:wellsheep
  1. 哈工大dsp嵌入式 一次实例作业

  2. alu的设计 哈工大dsp嵌入式 一次实例作业 verilog 设计alu
  3. 所属分类:硬件开发

    • 发布日期:2011-03-07
    • 文件大小:12288
    • 提供者:xiangsiersheng0
  1. ALU算术逻辑运算 multisim实现

  2. ALU能进行多种算术运算和逻辑运算。4位ALU-74LS181能进行16种算术运算和逻辑运算。 (1).掌握算术逻辑单元(ALU)的工作原理; (2).熟悉简单运算器的数据传送通路; (3).画出逻辑电路图及布出美观整齐的接线图; (4).验证4位运算功能发生器(74LS181)组合功能。
  3. 所属分类:专业指导

    • 发布日期:2011-05-17
    • 文件大小:81920
    • 提供者:haoshiduo159
  1. 用Verilog语言编写ALU

  2. 参数有:AluOp,OperandA,OperandB,Zero,Overflow,Less,Result 有二级译码
  3. 所属分类:其它

    • 发布日期:2011-05-19
    • 文件大小:5120
    • 提供者:kentjakie
  1. ALU运算单元

  2. ALU 运算 单元 Something You must use
  3. 所属分类:专业指导

    • 发布日期:2008-04-29
    • 文件大小:2048
    • 提供者:wxd2009
  1. vhdl语言对于ALU的设计

  2. 一个ALU单元的VHDL语言风格的设计
  3. 所属分类:其它

    • 发布日期:2008-05-16
    • 文件大小:1048576
    • 提供者:seraphim04
  1. VHDL设计算术逻辑单元

  2. 通过VHDL编程实现ALU基本功能,然后显示在两个七段数码管上
  3. 所属分类:其它

    • 发布日期:2011-11-18
    • 文件大小:1048576
    • 提供者:g19920917
  1. alu(cpu部件)

  2. 用vhdl语言编写cpu部件alu
  3. 所属分类:专业指导

    • 发布日期:2008-06-04
    • 文件大小:10240
    • 提供者:gaoxiaoke21
  1. 湖南大学数电实验ALU.zip

  2. 湖南大学数电实验ALU.zip
  3. 所属分类:C/C++

    • 发布日期:2021-03-08
    • 文件大小:514048
    • 提供者:qq_48085610
  1. ENEL373-8-bit-ALU-源码

  2. ENEL373-8-bit-ALU
  3. 所属分类:其它

    • 发布日期:2021-03-06
    • 文件大小:11264
    • 提供者:weixin_42138780
  1. VHDL-8位ALU-源码

  2. VHDL-8位ALU
  3. 所属分类:其它

    • 发布日期:2021-02-17
    • 文件大小:11264
    • 提供者:weixin_42131790
  1. FPGA-LPLIB_ALU:具有算术和逻辑单元(例如加法器,计数器,lfsr,移位器和通用alu块)的VHDL设计存储库-源码

  2. FPGA-LPLIB_ALU 具有算术和逻辑单元(例如加法器,计数器,lfsr,移位器和通用alu模块)的VHDL设计存储库。 目录 hdl/包含用于FPGA设计和测试平台的VHDL源。 list/包含* .lst文件,其中包含要编译的源路径。 用于Aldec Riviera-PRO,HDL模拟器的rundir_riviera/ rundir。 scr ipt_bash/通用bash脚本实用程序。 图书馆 lib.lplib_alu.lst lib.lplib_alu_verif.ls
  3. 所属分类:其它

    • 发布日期:2021-02-08
    • 文件大小:29696
    • 提供者:weixin_42108054
  1. 语音编解码芯片MT8965在ALU中的应用

  2.  摘要:MT8965是一种功能较强的语音编解码芯片,它采用A律编码,可通过控制总线接收从处理器发出的控制信号来写控制寄存器,从而控制驱动输出信号。文章介绍了MT8965的性能特点及其在ALU中的应用。   关键词:ALU;编解码;CODEC;摘挂机检测;MT8965  MT8965是MITEL公司生产的一种功能较强的PCM语音编解码芯片,它采用我国电信网及欧洲电信所采用的A率编码,符合CCITT的编解码标准;并与MITEL公司所提出的ST-BUS总线标准兼容。MT8965内部有两个寄存器,可以控
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:186368
    • 提供者:weixin_38668672
« 1 2 3 4 56 7 8 9 10 ... 24 »