您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. [Unity][unet][Android]发送信息交互通讯的工程文件

  2. 本资源仅供学习使用,请支持原文。转发自:COMO CREAR UN CHAT ONLINE PARA UNITY CON UNET。
  3. 所属分类:Unity3D

    • 发布日期:2017-10-31
    • 文件大小:11534336
    • 提供者:bulademian
  1. Sockets en Java

  2. Enunciado de la pr¨¢ctica? En esta pr¨¢ctica se pretende aumentar el conocimiento acerca del uso de sockets en Java, en este cas utilizando tanto conectores de tipo stream (TCP) como conectores datagrama (UDP), siendo capaz de construir sus propias
  3. 所属分类:Java

    • 发布日期:2009-03-10
    • 文件大小:21504
    • 提供者:meizanchen
  1. Android代码-Android

  2. Exemplos de Projetos Android Este repositório contém todos os projetos Android que serviram como exemplo para as postagens no blog luizmarcus.com
  3. 所属分类:其它

    • 发布日期:2019-08-06
    • 文件大小:1048576
    • 提供者:weixin_39841365
  1. Android代码-RetroPicker

  2. RetroPicker - BETA 1 Economize tempo no desenvolvimento. >Trabalhar com Ações de mídias no Android, envolvendo Câmera e Galeria, pode ser um processo tardio para sua produtividade - principalmente por volume de regras que precisam ser seguidas, c
  3. 所属分类:其它

    • 发布日期:2019-08-06
    • 文件大小:149504
    • 提供者:weixin_39840588
  1. Android代码-android-calculadora

  2. Aplicativo Calculadora Autor: Juliano Denner da RochaE-mail: jdenneroutlook.com Aplicação desenvolvida como material de apoio ao aprendizado de programação para Android. É fornecida gratuitamente "no estado em que se encontra", isentando o autor de
  3. 所属分类:其它

    • 发布日期:2019-08-07
    • 文件大小:86016
    • 提供者:weixin_39840515
  1. 3D-r2d2-threejs.zip

  2. 3D-r2d2-threejs.zip,Juego de Plataformas 3D con r2d2 como主角,basado en javascr ipt con la librería 3.js,3D建模使用专门的软件来创建物理对象的数字模型。它是3D计算机图形的一个方面,用于视频游戏,3D打印和VR,以及其他应用程序。
  3. 所属分类:其它

    • 发布日期:2019-09-18
    • 文件大小:15728640
    • 提供者:weixin_38743481
  1. KTM气动球阀样本.pdf

  2. KTM气动球阀样本pdf,KTM气动球阀样本KTM气动球阀 PNEUMATIL BALL VALVE 气动球阀型号编制 示例 HWY E10562 T|6 ⑤ ①气动头型号 双动作型 AGO6 AK05AK07AG(K)09AG11AK12AK13AW13AK15AW17AW2oAW28C-355C1-490 单动作型AGD AKO7L AGO9D K12L A1D AW135 AK15L (弹笺复位AGO K075 AW17s AWv20S AW28s C, -355 AKO7H AG(K)0
  3. 所属分类:其它

    • 发布日期:2019-10-19
    • 文件大小:1048576
    • 提供者:weixin_38744270
  1. PLC、触摸屏和文本串口定义与连接.pdf

  2. PLC、触摸屏和文本串口定义与连接pdf,PLC、触摸屏和文本串口定义与连接HT6000系列触摸屏串口定义 HT6000目前有两个串行接口,标记为COM0,COM1。两个口分别为针头和孔头,以方便区 分,管脚的差别仅在」PIN7和PIN8。COM0口同时可用」程序串口卜载和调试。COMO 和COM1均可作为232和185复用的端口进行通讯。 管脚排列图 OMO通讯端口9针D型针座管脚排列图。这个端口用于连接m600系列触摸 54321 屏人机界面和具有RS232/485/422通讯端口的控制器。
  3. 所属分类:其它

    • 发布日期:2019-10-11
    • 文件大小:156672
    • 提供者:weixin_38744207
  1. 普传变频器7600-7800系列使用说明.pdf

  2. 普传变频器7600-7800系列使用说明pdf,普传变频器7600-7800系列使用说明竻一章检查与安仝注意事项 使用范围 第二章安装及备用电路 ※本变频器仅适用于一般的工业三相交流异步电动机。 ※本变频器只能用在本公司认可的场合,未经认可的使用环境可能导致火灾 触电、爆炸等事件。 使用环境 ※如果用于因变频器失灵而可能造成人身伤亡的设备(例如:运输人员的升 ()环境温度℃~℃ 降设备、航空系统、安全设备等),必须慎重处理,在这种情况下,请向厂家 )防止电磁干扰、远离干扰源。 咨询 ()防止水滴
  3. 所属分类:其它

    • 发布日期:2019-10-09
    • 文件大小:2097152
    • 提供者:weixin_38743602
  1. Hengstler 增量型编码器产品说明书.pdf

  2. Hengstler 增量型编码器产品说明书pdf,Hengstler 增量型编码器产品说明书HENGSTLER 函动 三噩〓黜〓贔〓 ■〓〓 〓 〓H〓〓〓〓〓〓〓 H MiELL 国理用田围 回日四加如四三田 位于Ange市的 Engstler总部 与 Engstler合作的理由 Hengslter,部位于德国西南部的 Aldingen更具竞争性 市,靠近 Black Forest-该地区是德国工业先驱和 投资者的聚集地。 本产品目录足以见证我们在编码器领 域中所具有的竞争性-(所有 Heng
  3. 所属分类:其它

    • 发布日期:2019-10-08
    • 文件大小:4194304
    • 提供者:weixin_38744270
  1. STC89C52RC单片机的特点.pdf

  2. STC89C52RC单片机的特点pdf,STC89C52RC 单片机是宏晶科技推出的新一代高速/低功耗/超强抗干扰的单 片机,指令代码完全兼容传统 8051 单片机,12 时钟/机器周期和 6 时钟/机器周 期可以任意选择。include #include ∥nopO延时函数用 #de line uchar unsigned char #define uint unsigned int sbit k1=Plo sbit k2=P1 1 sbit k3=Pl/2 sbit temp oul=P
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:134144
    • 提供者:weixin_38743968
  1. 罗克韦尔自动化-ControlLogix XT产品介绍.pdf

  2. 罗克韦尔自动化-ControlLogix XT产品介绍pdf,ControlLogix XT产品介绍 系统架构 老系统 Client/ server 兀余HM Sural wht besd+ 单占 =二= 资产管理 Canale Spenser Hric TRUELy 冗余网络 FactoryTalk MESIERP 集成 tmmn/o De比a 斗冗余空制器 SIL2 E siL3 FF,HART等 过程仪表 farben libue lengthe frh 间【e dl Foht 时 din
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:2097152
    • 提供者:weixin_38743481
  1. SIDOOR ATD400T 列车内门控制设备[手册].pdf

  2. SIDOOR ATD400T 列车内门控制设备[手册]pdf,DELieferumfang DE Benotigtes Montagematerial 4 x M6x10 EN Scope of delivery EN Required installation materials FR Etendue de la furniture FRMateriel necessaire au montage Vorgeschlagene SchraubengroBe/ Recommended screw
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:1048576
    • 提供者:weixin_38743481
  1. DS_N76E616_SC_Rev1.04.pdf

  2. DS_N76E616_SC_Rev1.04nuvoTon N76E616规格书 地址自动识别 总线 功能描述 起始 及结束 条件 位地址和数据格式 应答 仲裁 控制寄存器 工作模式 主机发送模式 主机接收模式 从机接收模式 从机发送模式 广播呼叫模式 状态字 中断服务程序范例 超时 中断 引脚中断 位模数转换 功能描述 工作方式 转换结果比较器 控制寄存器 驱动 功能描述 控制寄存器 程序流程 时控保护 中断系统 中断概述 中断使能 中断优先级 中断服务 中断延迟 外部屮断 在应用编程 命令 用
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:2097152
    • 提供者:weixin_38743968
  1. DS_N76E616_EN_Rev1.04.pdf

  2. DS_N76E616_EN_Rev1.04nuVOTon N76E616 Datasheet nuVOTon N76E616 Datasheet nuVOTon N76E616 Datasheet nuVOTon N76E616 Datasheet nuVOTon N76E616 Datasheet INTO NT1 nuVOTon N76E616 Datasheet OCD OCDDA CDCK VDD Power-on Reset and Brown-out GND Perform
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:2097152
    • 提供者:weixin_38743737
  1. SN8F570211_V2.4_EN (1).pdf

  2. - Enhanced 8051 microcontroller with reduced instruction cycle time (up to 12 times 80C51) - Up to 8 MHz flexible CPU frequency - Internal 32 MHz Clock Generator (IHRC)www.sonix.comtw sn8F5702 Series 1.4 Block Diagram On-chip Debug 8051-based CPu Sup
  3. 所属分类:嵌入式

    • 发布日期:2019-09-03
    • 文件大小:2097152
    • 提供者:qq_35351713
  1. dispensador智能药盒文档(带源代码).pdf

  2. 这是多年前找到的一篇国外某公司做的智能药盒的文档。封面上有规范的开发公司信息、LOGO、版本等,主要内容涵盖了结构设计(带有彩色3D解剖图),电路原理图,BOM表,PCB布线图,带有详细注释的源代码。是硬件开发归档的非常好的参考资料。RESUMEN Como resultados dc la investigacion rcalizada, sc incluycn los siguicntcs clcmcntos: 1. -Diagramas del diseno mecanico del Di
  3. 所属分类:硬件开发

    • 发布日期:2019-08-31
    • 文件大小:493568
    • 提供者:youngwah292
  1. FX(2N)-1PG 用户指南-1211.pdf

  2. 三菱PLC高速输出模块FX2N-1GP用户手册FⅩ系列特殊功能模块 FX-1PG/FX2N-1PG 引言 1.1引言 ·FX1PG/FX2N-1PG脉冲发生器单元(以后简明称为“PGU”)可以完成一个独立轴(不显示多轴之间的插补控制) 的简单定位,这是通过向伺服或步进马达的驱动放大器提供指定数量的脉冲(最大100KPPS)来实现的。 FX1PG是作为FXxC系列可编程控制器(以后简称为“PC")的扩展部分配置的, FX2N-IPG是作为FXN系列PC的 扩展部分配置的。每一个PGU都作为一个特殊
  3. 所属分类:制造

    • 发布日期:2019-08-31
    • 文件大小:14680064
    • 提供者:u012253043
  1. matlab开发-磁共振成像

  2. matlab开发-磁共振成像。Este Proyecto Realiza la Modulacion PWM de Se_ales Como Voz,Coseno,Seno.
  3. 所属分类:其它

    • 发布日期:2019-08-24
    • 文件大小:52224
    • 提供者:weixin_38744207
  1. TM1680_V1.3.pdf

  2. TM1680官方用户手册,用于MCU和TM1680之间的IIC协议搭建。该pdf文件详细的介绍了TM1680这款芯片的工业用途,提供软件demo,硬件demo一系列详细的说明。●T|TANM|cRo ELECTRONICS 32*8&24*16LED驱动芯片TM1680 输入输出等效电路 VDD VDD GND GND VDD 厂 GND GND GND 图3 集成电路系静电敏感器件,在干燥季节或者干燥环境使用容易产生大量静电,静电放电可 能损坏集成电路,天微电子建议采取一切适当的集成电路预防处
  3. 所属分类:C

    • 发布日期:2019-07-29
    • 文件大小:784384
    • 提供者:weibazi
« 1 2 3 4 5 67 8 9 10 11 ... 50 »