您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 出租车计价器的设计Electronics

  2. 出租车计价器的设计. 本设计主要介绍了基于电子工作平台Electronics Workbench (EWB)(现称为MultiSim)出租车计价器控制电路的设计。整个自动控制系统由四个主要电路构成:里程计数及显示、计价电路、基本里程判别电路、秒信号发生器及等候计时电路和清零复位电路。以Electronics Workbench (EWB
  3. 所属分类:专业指导

    • 发布日期:2009-05-30
    • 文件大小:132096
    • 提供者:mengxianbo921
  1. A Comprehensive Guide to Digital Electronics and Computer System Architecture

  2. McGraw Hill - Complete Digital Design - A Comprehensive Guide to Digital Electronics and Computer System Architecture.pdf
  3. 所属分类:专业指导

    • 发布日期:2009-06-02
    • 文件大小:6291456
    • 提供者:wanghui8864
  1. 电子杂志 Everyday.Practical.Electronics.Magazine.37-01.-.Jan.2008

  2. Everyday.Practical.Electronics.Magazine.37-01.-.Jan.2008
  3. 所属分类:专业指导

    • 发布日期:2009-07-15
    • 文件大小:13631488
    • 提供者:zx0977
  1. Digital-electronics-1-源码

  2. Digital-electronics-1 我的实验室 实验室 链接 源代码 f_o <= ( not b_i and a_i) or ( not c_i and not b_i); f_nand_o <= not ( not ( not b_i and a_i) and not ( not b_i and not c_i)); f_nor_o <= not (b_i or not a_i) or not (c_i or b_i);
  3. 所属分类:其它

    • 发布日期:2021-02-14
    • 文件大小:1024
    • 提供者:weixin_42181319
  1. Digital-electronics-1-源码

  2. Digital-electronics-1 ##VítMaša
  3. 所属分类:其它

    • 发布日期:2021-02-14
    • 文件大小:1048576
    • 提供者:weixin_42098830
  1. Digital-electronics-1-源码

  2. Digital-electronics-1 实验室 源代码 第一的 秒⋅⋅1。 达西
  3. 所属分类:其它

    • 发布日期:2021-02-13
    • 文件大小:1048576
    • 提供者:weixin_42120550
  1. Digital-electronics-1-源码

  2. Digital-electronics-1 实验室 源代码 begin writeline ( " Boris voní " ); end ; 摩根法律模拟 architecture dataflow of gates is begin f_o <= (( not b_i) and a_i) or (( not c_i) and ( not b_i)); fnand_o <= not ( not (( not b_i) and a_i) and not ((
  3. 所属分类:其它

    • 发布日期:2021-02-13
    • 文件大小:1048576
    • 提供者:weixin_42156940
  1. Digital-electronics-1-源码

  2. Digital-electronics-1 zkouska hlavicky 1 zkouska hlavicky 2 斜体粗体 清单1 A B C D gh 清单2 清单2 A B C D 1654 测试 测试2 阿夫萨夫 k 阿斯达斯 A B C D ukazka kodu entity gates is port ( a_i : in std_logic ; -- Data input b_i : in s
  3. 所属分类:其它

    • 发布日期:2021-02-13
    • 文件大小:1048576
    • 提供者:weixin_42127369
  1. Digital-electronics-1-源码

  2. Digital-electronics-1 实验室 源代码 该文本为斜体 git status git add git commit 第一个订购清单项目 另一个项目 完成我的read.me文件 完成我的作业 在这个学期中生存 表情符号 :shamrock: :cherry_blossom: :rose:
  3. 所属分类:其它

    • 发布日期:2021-02-13
    • 文件大小:1024
    • 提供者:weixin_42131705
  1. Digital-electronics-1-源码

  2. Digital-electronics-1 01门 NAND和NOR功能修改: EDA代码:... library ieee; 使用ieee.std_logic_1164.all; 实体Gates是port(a_i:在std_logic中; b_i:在std_logic中; c_i:在std_logic中; fun_o:出std_logic; nand_o:出std_logic; nor_o:出std_logic ); 终端实体门; Gates的架构数据流是开始fun_o <=((no
  3. 所属分类:其它

    • 发布日期:2021-02-13
    • 文件大小:1048576
    • 提供者:weixin_42137022
  1. Digital-electronics-1-源码

  2. Digital-electronics-1 实验室 清单 dfgfs dfgsgfd 链接 图片 桌子 第一个标题 第二个标题 尼科 尼科2 阿斯法夫 asdfasf 2 源代码 function fancyAlert( arg ) { if ( arg ) { $.facebox({div:'#foo'}) } }
  3. 所属分类:其它

    • 发布日期:2021-02-11
    • 文件大小:18432
    • 提供者:weixin_42168265
  1. VUT-Digital-Electronics-1-源码

  2. Digital-Electronics-1 实验室 源代码 VHDL语法 entity HelloWorld is end entity ; architecture sim of HelloWorld is begin process is begin report " Hello World! " ; wait ; end process ; end architecture ;
  3. 所属分类:其它

    • 发布日期:2021-02-11
    • 文件大小:1048576
    • 提供者:weixin_42121412
  1. Digital-electronics-1-源码

  2. Digital-electronics-1 数字电子1的第一个实验室 我的源代码 function fancyAlert ( arg ) { if ( arg ) { $ . facebox ( { div : '#foo' } ) } }
  3. 所属分类:其它

    • 发布日期:2021-02-10
    • 文件大小:1024
    • 提供者:weixin_42097967
  1. Digital-electronics-1-源码

  2. Digital-electronics-1 实验室 托托JE prostor亲Digitálníelektroniku,锦布兜vkládányveškerésoubory。 裸体 Základydigitálníchobvodůakombinačnílogiky 原理和功能自动控制 Psaníkóduve VHDL Navrhování测试台VHDL Navrhováníobvodůpro FPGA
  3. 所属分类:其它

    • 发布日期:2021-02-10
    • 文件大小:1024
    • 提供者:weixin_42118011
  1. Digital-electronics-1-源码

  2. Digital-electronics-1 Prvnícvičení Prvnípoložka⋅⋅prvníneorganizovanápodpolžka 杜哈položka⋅⋅1.prvníorganizovanápodpolžka------------ | -------------Položka1.大头菜| Položka2.大草原 if (tohle je kód) { return true }
  3. 所属分类:其它

    • 发布日期:2021-02-10
    • 文件大小:1024
    • 提供者:weixin_42138780
  1. Bobik77-Digital-electronics-1-源码

  2. Bobik77-Digital-electronics-1
  3. 所属分类:其它

    • 发布日期:2021-02-10
    • 文件大小:1024
    • 提供者:weixin_42101237
  1. Digital-electronics-1-2021-源码

  2. Digital-electronics-1-2021 标头1 标头2 重点 强调,又称斜体,带有星号或下划线。
  3. 所属分类:其它

    • 发布日期:2021-02-10
    • 文件大小:1024
    • 提供者:weixin_42137028
  1. Digital-electronics-1-源码

  2. Digital-electronics-1 在这里,您将见证我对git的所有痛苦 :smiling_face: ##有序列表和无序列表 ##链接 ##一张桌子 ## VHDL源代码列表f
  3. 所属分类:其它

    • 发布日期:2021-02-10
    • 文件大小:1024
    • 提供者:weixin_42131013
  1. A comprehensive review of doping in perovskite nanocrystals/quantum dots: evolution of structure, electronics, optics an

  2. A comprehensive review of doping in perovskite nanocrystals/quantum dots: evolution of structure, electronics, optics and light-emitting diodes
  3. 所属分类:其它

    • 发布日期:2021-02-08
    • 文件大小:3145728
    • 提供者:weixin_38605604
  1. awesome-electronics:电子工程师和业余爱好者精选资源的精选清单-源码

  2. awesome-electronics:电子工程师和业余爱好者精选资源的精选清单
  3. 所属分类:其它

    • 发布日期:2021-01-31
    • 文件大小:66560
    • 提供者:weixin_42134338
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »