您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. LCD显示的VHDL描述

  2. LCD显示的VHDL描述,有2种描述。包括了整个显示的过程如何描述。
  3. 所属分类:C/C++

    • 发布日期:2009-06-25
    • 文件大小:2048
    • 提供者:chzhf1987
  1. VHDL语言实现位定时信息提取 包括说明文档和相关论文链接

  2. VHDL语言实现位定时信息提取 包括说明文档和相关论文链接,如果正好需要的话,应该还是蛮实用的
  3. 所属分类:硬件开发

    • 发布日期:2009-06-26
    • 文件大小:591872
    • 提供者:leafree
  1. VHDL设计频带传输系统的开发与设计

  2. VHDL设计频带传输系统含PCM 编译码一次群分接复接。
  3. 所属分类:专业指导

    • 发布日期:2009-06-26
    • 文件大小:54272
    • 提供者:hejin1987
  1. VHDL 液晶显示

  2. 基于VHDL语言的液晶显示程序,并且配有完整的管脚分配,仿真波形等,可以在开发板上运行的
  3. 所属分类:嵌入式

    • 发布日期:2009-06-26
    • 文件大小:768000
    • 提供者:whutxzj675
  1. 基于VHDL 键盘显示程序

  2. 基于VHDL的键盘程序,通过按键实现在数码管上显示相应的数值,并且可以实现存储移位的功能
  3. 所属分类:C/C++

    • 发布日期:2009-06-26
    • 文件大小:947200
    • 提供者:whutxzj675
  1. VHDL语言详解.pdf

  2. VHDL语言详解.pdf VHDL语言详解 主讲:张晓磊 很好的参考资料
  3. 所属分类:专业指导

    • 发布日期:2009-06-26
    • 文件大小:849920
    • 提供者:tomlu1983
  1. VHDL Programming by Example.pdf

  2. VHDL Programming by Example.pdf
  3. 所属分类:C/C++

    • 发布日期:2009-06-28
    • 文件大小:2097152
    • 提供者:richard19880121
  1. 手机游戏--直升机游戏(可执行VHDL代码)

  2. 这是我的数字系统设计,用VHDL编写的手机游戏直升机,分为八大模块,作用根据名字很容易明白。所有代码均通过编译,并成功下载到板子上和点阵板上通过验收。代码旁边附有详细的说明,便于看懂。希望对学习VHDL的人有所帮助。
  3. 所属分类:专业指导

    • 发布日期:2009-06-28
    • 文件大小:268288
    • 提供者:qpsandy
  1. VHDL硬件描述语言与数字逻辑电路设计

  2. 内容概要    本书系统地介绍了一种硬件描述语言,即VHDL语言设计数字逻辑电路和数字系统的新方法。这是电子电路设计方法上一次革命性的变化,也是迈向21世纪的电子工程师所必须掌握的专门知识。本书共分12章,第1章~第8章主要介绍VHDL语言的基本知识和使用VHDL语言设计简单逻辑电路的基本方法;第9章和第10章分别以定时器和接口电路设计为例,详述了用VHDL语言设计复杂电路的步骤和过程;第11章简单介绍了VHDL语言93版和87版的主要区别;第12章介绍了MAX+PlusⅡ的使用说明。 本书以
  3. 所属分类:嵌入式

    • 发布日期:2009-06-29
    • 文件大小:3145728
    • 提供者:gobabby
  1. FPGA / VHDL中文教程

  2. 包括: QuartusII中文教程和详细教程(pdf) VHDL程序源码与仿真 FPGA
  3. 所属分类:硬件开发

    • 发布日期:2009-06-29
    • 文件大小:7340032
    • 提供者:liuyang19870715
  1. VHDL 课件 非常不错的入门资料

  2. VHDL 课件 非常不错的入门资料,讲解非常仔细。
  3. 所属分类:专业指导

    • 发布日期:2009-06-30
    • 文件大小:1048576
    • 提供者:chmi9610
  1. 8B/10B 编码 VHDL

  2. 采用vhdl语言编写的8B10B编码,用于高速通信中数据的直流平衡和检错
  3. 所属分类:硬件开发

    • 发布日期:2009-06-30
    • 文件大小:8192
    • 提供者:dragonyoo
  1. 8B/10B解码 VHDL

  2. 采用vhdl语言编写的8B10B解码,用于高速通信中数据的直流平衡和检错
  3. 所属分类:硬件开发

    • 发布日期:2009-06-30
    • 文件大小:7168
    • 提供者:dragonyoo
  1. 分别使用原理图和VHDL语言输入方法设计8位全加器

  2. 利用EDA-Ⅴ型实验箱,硬件描述语言(VHDL),及MAX-PLUSⅡ软件设计简单的8位全加器,实现功能说明中的要求。
  3. 所属分类:专业指导

    • 发布日期:2009-06-30
    • 文件大小:158720
    • 提供者:poloamor
  1. VHDL实用教程-----潘松 王国栋

  2. 本书比较系统地介绍了VHDL 的基本语言现象和实用技术全书以实用和可操作 为基点简洁而又不失完整地介绍了VHDL 基于EDA 技术的理论与实践方面的知识 其中包括VHDL 语句语法基础知识第1 章第7 章逻辑综合与编程技术第9 章 有限状态机及其设计第10 章基于FPGA 的数字滤波器设计第11 章多种常用 的支持VHDL 的EDA 软件使用介绍第12 章VHDL 数字系统设计实践介绍第13 章和大学生电子设计赛题的VHDL 应用介绍第14 章全书列举了大量VHDL 设 计示例其中大部分经第1
  3. 所属分类:硬件开发

    • 发布日期:2009-06-30
    • 文件大小:4194304
    • 提供者:bitwzj
  1. 用VHDL语言仿真闹钟设计

  2. 用VHDL语言仿真闹钟设计,通过VHDL语言进行编程实现闹钟的功能
  3. 所属分类:嵌入式

    • 发布日期:2009-06-30
    • 文件大小:295936
    • 提供者:cjlsk
  1. VHDL语言设计数字跑表的源代码

  2. VHDL语言设计数字跑表的源代码,通过VHDL语言实现数字跑表的功能
  3. 所属分类:专业指导

    • 发布日期:2009-06-30
    • 文件大小:243712
    • 提供者:cjlsk
  1. VHDL学习及实例(100个例子)

  2. vhdl语言作为一种硬件电路描述语言,在电路设计中占有很重要的地位,本资料中包含的100个vhdl例子基本上对于vhdl语法都囊括了 注意:本例子是在QuartusII环境下编译的
  3. 所属分类:专业指导

    • 发布日期:2009-07-02
    • 文件大小:235520
    • 提供者:pury1987
  1. VHDL实现智力抢答器

  2. 用VHDL语言实现智力抢答器系统,系统的输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮TA、TB;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口LEDA、LEDB、LEDC、LEDD,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。
  3. 所属分类:C

    • 发布日期:2009-07-03
    • 文件大小:3072
    • 提供者:zhenglaobao
  1. VHDL 使用教程(潘松 王国栋编著)

  2. 本书比较系统地介绍了VHDL 的基本语言现象和实用技术全书以实用和可操作 为基点简洁而又不失完整地介绍了VHDL 基于EDA 技术的理论与实践方面的知识 其中包括VHDL 语句语法基础知识第1 章第7 章逻辑综合与编程技术第9 章 有限状态机及其设计第10 章基于FPGA 的数字滤波器设计第11 章多种常用 的支持VHDL 的EDA 软件使用介绍 和大学生电子设计赛题的VHDL 应用介绍 第12 章VHDL 数字系统设计实践介绍第13 章第14 章全书列举了大量VHDL 设 计示例 都通过了附
  3. 所属分类:硬件开发

    • 发布日期:2009-07-04
    • 文件大小:4194304
    • 提供者:jintaodu
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »