您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL全加器原理图以及源程序

  2. VHDL全加器原理图以及源程序,使用Vhdl语言实现
  3. 所属分类:专业指导

    • 发布日期:2009-06-03
    • 文件大小:180224
    • 提供者:sunrier
  1. VHDL 实现BCD码

  2. VHDL 实现BCD码,使用VHDL写的源程序
  3. 所属分类:其它

    • 发布日期:2009-06-03
    • 文件大小:123904
    • 提供者:sunrier
  1. VHDL 两个4位二进制计数器构成一个六十进制计数器

  2. VHDL 两个4位二进制计数器构成一个六十进制计数器,使用VHDL语言
  3. 所属分类:其它

    • 发布日期:2009-06-03
    • 文件大小:128000
    • 提供者:sunrier
  1. VHDL 含并行置位的移位寄存器

  2. VHDL 含并行置位的移位寄存器,使用VHDL语言
  3. 所属分类:专业指导

    • 发布日期:2009-06-03
    • 文件大小:79872
    • 提供者:sunrier
  1. VHDL 上升沿触发的D触发器

  2. VHDL 上升沿触发的D触发器,使用VHDL语言
  3. 所属分类:其它

    • 发布日期:2009-06-03
    • 文件大小:108544
    • 提供者:sunrier
  1. VHDL同步复位的D触发器

  2. VHDL同步复位的D触发器,使用VHDL语言
  3. 所属分类:其它

    • 发布日期:2009-06-03
    • 文件大小:125952
    • 提供者:sunrier
  1. VHDL语言100例

  2. 不错的资源,掌握了你就是一个VHDL高手
  3. 所属分类:专业指导

    • 发布日期:2009-06-04
    • 文件大小:222208
    • 提供者:hiage2008
  1. PFGA与VHDL工程实践教程, PDF

  2. 本书浅入深地讲述了如何应用FPGA/VHDL进行电子设计。
  3. 所属分类:硬件开发

    • 发布日期:2009-06-06
    • 文件大小:3145728
    • 提供者:chenfengde
  1. VHDL硬件描述语言

  2. 全面地介绍了VHDL硬件描述语言的基本知识和利用VHDL进行数字电路系统设计的方法。全书共分13章:第1-6章主要介绍VHDL语言的基本语法知识;第7-9章介绍利用VHDL设计组合逻辑电路和时序逻辑电路(包括状态机)的基本方法;第10、11章简单扼要地介绍了VHDL设计中的仿真和综合的内容;第12章介绍ALTERA公司的MAX+PLUSII开发工具的使用;第13章给出了3个VHDL层次性设计的实例,以进一步提高读者学习和使 VHDL的能力。本书注重基础知识的介绍,力求向读者系统地讲解VHDL硬
  3. 所属分类:嵌入式

    • 发布日期:2009-06-06
    • 文件大小:7340032
    • 提供者:chenfengde
  1. The VHDL Golden Reference Guide - DOULOS

  2. 关于VHDL的极为通俗易懂的英文原版教程,即“VHDL黄金参考手册”
  3. 所属分类:专业指导

    • 发布日期:2009-06-06
    • 文件大小:251904
    • 提供者:izeukin
  1. IEEE Std VHDL Language Reference Manual.IEEE Std 1076-2002

  2. IEEE Std VHDL Language Reference Manual.IEEE Std 1076-2002 2002版的VHDL IEEE标准 共309页
  3. 所属分类:专业指导

    • 发布日期:2009-06-06
    • 文件大小:1048576
    • 提供者:izeukin
  1. VHDL Reference Manual

  2. 很经典的VHDL语法及使用参考手册 英文原版,共144页
  3. 所属分类:专业指导

    • 发布日期:2009-06-06
    • 文件大小:1048576
    • 提供者:izeukin
  1. Examples of VHDL Descriptions

  2. Examples of VHDL Descr iptions VHDL实例描述
  3. 所属分类:其它

    • 发布日期:2009-06-06
    • 文件大小:284672
    • 提供者:izeukin
  1. VHDL语言例程集锦

  2. VHDL语言例程集锦,官方常用例子,语言:英文
  3. 所属分类:C/C++

    • 发布日期:2009-06-06
    • 文件大小:173056
    • 提供者:kemi450
  1. 基于VHDL数字钟的设计

  2. EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括时分秒以及星期计数模块和重置时间模块。
  3. 所属分类:专业指导

    • 发布日期:2009-06-06
    • 文件大小:201728
    • 提供者:yuanteng
  1. 基于VHDL数字钟的设计

  2. EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括时分秒以及星期计数模块和重置时间模块。
  3. 所属分类:专业指导

    • 发布日期:2009-06-06
    • 文件大小:304128
    • 提供者:yuanteng
  1. VHDL上机手册(基于Xilinx ISE & ModelSim)

  2. VHDL上机手册(基于Xilinx ISE & ModelSim)
  3. 所属分类:专业指导

    • 发布日期:2009-06-08
    • 文件大小:1024000
    • 提供者:izeukin
  1. Beginner VHDL Training Class(Altera)

  2. Beginner VHDL Training Class(Altera)
  3. 所属分类:专业指导

    • 发布日期:2009-06-08
    • 文件大小:988160
    • 提供者:izeukin
  1. SPI VHDL接口程序

  2. 这是一个用VHDL写的SPI接口程序,里面有TEST文件,可以自己做仿真。喜欢的朋友就下载吧。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-08
    • 文件大小:2048
    • 提供者:hxmhxl
  1. 数字钟vhdl实验 好东西好东西好东西好东西

  2. vhdl实验 好东西好东西好东西好东西好东西好东西好东西好东西好东西好东西
  3. 所属分类:专业指导

    • 发布日期:2009-06-08
    • 文件大小:322560
    • 提供者:liyanqingyang
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »