您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL与数字电路设计

  2. VHDL与数字电路设计 VHDL与数字电路设计 VHDL与数字电路设计
  3. 所属分类:专业指导

    • 发布日期:2009-05-30
    • 文件大小:6291456
    • 提供者:keke200868
  1. VHDL实现的一维小波变换器

  2. VHDL实现的一维小波变换器,基于流水线结构,每个时钟周期两个数据,已在cyclone上验证过
  3. 所属分类:其它

    • 发布日期:2009-05-30
    • 文件大小:1024
    • 提供者:wogan1
  1. 基于VHDL的世界时钟的设计与实现

  2. 随着科技的迅猛发展,EDA技术越来越受到社会的重视,在电子产品上占有比重越来越大,成为电子产业发展的一个重要方向.从EDA发展出发,本文从一个简单的应用实例来说明其应用,基于VHDL语言设计了一个世界时钟系统,实现了时,分,秒的标准计时,调节及时区选择等功能.
  3. 所属分类:专业指导

    • 发布日期:2009-05-30
    • 文件大小:120832
    • 提供者:armxing
  1. 基于VHDL的数字时钟的设计

  2. 随着EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用领域的重要性日益突出.EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑优化和仿真测试,直至实现既定的电子线路系统功能.本文介绍了基于VHDL硬件描述语言设计的多功能数字时钟的思路和技巧.在QuartusⅡ开发环境中编译和仿真了所设计的程序,并逐一调试验证程序的运行状况.仿真和验证的结果表明,该设计方法切实可行,该数字时钟
  3. 所属分类:嵌入式

    • 发布日期:2009-05-30
    • 文件大小:293888
    • 提供者:armxing
  1. 基于VHDL的数字时钟设计

  2. VHDL是一种标准的硬件描述语言,该语言可以描述硬件电路的功能、信号连接关系及定时关系,是当今电子设计自动化(EDA)的核心技术.本文通过简易电子表的设计实例,详细介绍了利用VHDL设计电路的流程和方法.
  3. 所属分类:专业指导

    • 发布日期:2009-05-30
    • 文件大小:205824
    • 提供者:armxing
  1. VHDL数字电路设计与应用实践教程

  2. 对于刚接触FPGA,学习VHDL有很深的帮助,主要讲解VHDL的基本运用,
  3. 所属分类:硬件开发

    • 发布日期:2009-05-31
    • 文件大小:2097152
    • 提供者:jiang7243
  1. vhdl 16选4数据选择器

  2. 用vhdl语言设计实现十六选四的数据选择器电路
  3. 所属分类:专业指导

    • 发布日期:2009-05-31
    • 文件大小:3072
    • 提供者:xiaoandyr
  1. VHDL一屏一屏显示汉字

  2. VHDL语言设计的现实特定字符的程序。可以再点阵中同时显示一屏字符
  3. 所属分类:其它

    • 发布日期:2009-05-31
    • 文件大小:6144
    • 提供者:hnpjlc
  1. VHDL语言学习总结

  2. VHDL语言学习总结,并且和c++比较,说明了vhdl的一些特点
  3. 所属分类:C++

    • 发布日期:2009-06-01
    • 文件大小:191488
    • 提供者:aplflr
  1. vhdl语言实现秒表功能

  2. 利用vhdl语言编写的秒表功能,通过编译无错误
  3. 所属分类:C/C++

    • 发布日期:2009-06-01
    • 文件大小:822
    • 提供者:hhhhhfffff
  1. VHDL 奇偶校验电路

  2. 用VHDL语言做的EDA仿真 奇偶校验电路
  3. 所属分类:嵌入式

    • 发布日期:2009-06-01
    • 文件大小:54272
    • 提供者:wdukai
  1. EDA VHDL 八分频器

  2. EDA 仿真 用VHDL语言做的 八分频器
  3. 所属分类:嵌入式

    • 发布日期:2009-06-01
    • 文件大小:18432
    • 提供者:wdukai
  1. VHDL键盘去抖动,计分器.vhd

  2. VHDL键盘去抖动,计分器 是VHDL语言的程序,挺有用的,大家看看哈。
  3. 所属分类:专业指导

    • 发布日期:2009-06-01
    • 文件大小:1024
    • 提供者:sxlwzl
  1. 密码锁(VHDL)EDA技术

  2. 用VHDL编写的密码锁,实验结果正确,非常适用于初学者
  3. 所属分类:专业指导

    • 发布日期:2009-06-01
    • 文件大小:1001
    • 提供者:jtgbg
  1. ARM7的verilog和VHDL语言描述

  2. 用verilog语言和VHDL语言描述arm7的源代码
  3. 所属分类:硬件开发

    • 发布日期:2009-06-02
    • 文件大小:129024
    • 提供者:nkutao
  1. 电梯控制器VHDL源程序

  2. 基于FPGA的六层楼电梯控制器VHDL源代码。
  3. 所属分类:硬件开发

    • 发布日期:2009-06-02
    • 文件大小:14336
    • 提供者:mhone
  1. VHDL语言编写的数字分频器

  2. 用VHDL语言编写的数字分频器,已经过Quartus 5.0仿真调试。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-03
    • 文件大小:978
    • 提供者:qq625635824
  1. VHDL语言编写的4选一状态机

  2. 用VHDL语言编写的4选一控制器,已通过仿真验证,并附波形图。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-03
    • 文件大小:3072
    • 提供者:qq625635824
  1. VHDL语言编写的售邮票状态机

  2. 用VHDL语言编写的售邮票的状态机,已通过仿真验证,并附仿真波形图。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-03
    • 文件大小:2048
    • 提供者:qq625635824
  1. VHDL带有异步复位的T触发器

  2. VHDL带有异步复位的T触发器,用vhdl语言实现
  3. 所属分类:其它

    • 发布日期:2009-06-03
    • 文件大小:109568
    • 提供者:sunrier
« 1 2 3 4 5 67 8 9 10 11 ... 50 »