您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL&VerilogHDL简明教程.

  2. 在本章开始我们了解一下什么是硬件描述语言以及数字系统设计中的一些基本概念。在 设计中,FPGA、CPLD 等可编程器件得到了越来越多的应用,其一是因为这些器件可以在其 中实现许多分立元器件实现的功能,这样就缩小了电路板的面积;其二,这些器件的可编程 使得设计可以随时变更,而不需要重新布线制板。当我们的设计验证通过之后,如果需要大 批量生产时候,我们可以把可编程器件中的设计交给半导体厂商进行流片,这样可以大大降 低生产成本,如果设计的芯片有较好的通用性,我们还可以去出售自己设计的芯片了。EDA
  3. 所属分类:嵌入式

    • 发布日期:2009-08-06
    • 文件大小:830464
    • 提供者:lpyzckl
  1. verilogHDL精粹

  2. 现在的情况与以前有所不同,软件工程师能够方便地参与到硬件设计中。不管是硬件模块还是软件模块现在都可以用编程语言进行设计。众所周知,C语言是嵌入式软件设计的通用语言。在硬件设计方面,Verilog则是流行的选择(用VHDL的人也很多)。Verilog的语法和结构与C编程语言非常相似,从本文的例子中也可以看到这一点。
  3. 所属分类:C

    • 发布日期:2009-08-06
    • 文件大小:37888
    • 提供者:lpyzckl
  1. 计算机原理实验C报告

  2. 这是一个计算机原理实验报告的核心内容,基于Quartus7.2的VERILOGHDL硬件描述语言编程!
  3. 所属分类:C

    • 发布日期:2009-08-23
    • 文件大小:646144
    • 提供者:wypchun
  1. VerilogHDL教程

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 1 5 3.5 编译指令 15 3.5.1 `defi
  3. 所属分类:C++

    • 发布日期:2009-08-30
    • 文件大小:3145728
    • 提供者:icomechang
  1. VerilogHDL 语言练习与讲解(十个精选例题)

  2. Verilog 语言练习与讲解,精选十道例题,由浅入深,逐步深入,从练习中学习Verilog语言
  3. 所属分类:C/C++

    • 发布日期:2009-09-03
    • 文件大小:443392
    • 提供者:lealog
  1. 12864简单驱动(用verilogHDL写的)

  2. 自己用verilog写得12864液晶的简单驱动 也就写着玩玩 喜欢的可以分享哦
  3. 所属分类:其它

    • 发布日期:2009-09-13
    • 文件大小:907
    • 提供者:weixiaoyanin
  1. VerilogHDL名师手把手

  2. 我的一位很有名的老师给的,内容就不说了很经典! 是初学者和想提高自己语言编程能力的人的不可多得一本好书。
  3. 所属分类:专业指导

    • 发布日期:2009-10-01
    • 文件大小:2097152
    • 提供者:haifengsun123
  1. verilogHDL语言教程

  2. verilogHDL语言教程,有兴趣的可以看看
  3. 所属分类:专业指导

    • 发布日期:2009-10-26
    • 文件大小:7340032
    • 提供者:guochangzheng
  1. verIlogHDL 学习资料

  2. 非常有用的verilog学习的用书,很实用。讲的非常全面。对初学者有很大的帮助。
  3. 所属分类:专业指导

    • 发布日期:2009-12-08
    • 文件大小:1048576
    • 提供者:chenjiyuan127
  1. FPGA开发使用教程

  2. 本书主要讲述了Xilinx FPGA的开发知识,包括FPGA基础知识、VerilogHDL语言基础、基于Xilinx芯片的HDL语言高级进阶、ISE开发环境使用指南、FPGA配置电路及软件操作、在线逻辑分析仪ChipScope的使用、基于FPGA的数字信号处理技术、基于System Generator的DSP系统开发技术、基于FPGA的可编程嵌入式开发技术、基于FPGA的高速数据连接技术以及时序分析原理和时序分析器的使用等11章内容,涵盖了FPGA开发的主要方面。期望本书能够提高读者的工程开发
  3. 所属分类:硬件开发

    • 发布日期:2009-12-11
    • 文件大小:13631488
    • 提供者:runxin218
  1. VerilogHDL设计的要点

  2. 通过本章十个阶段的练习,一定能逐步掌握Verilog HDL 设计的 要点。我们可以先理解样板模块中每一条语句的作用,然后对样板模块进行综合前和综合后 仿真,再独立完成每一阶段规定的练习。当十个阶段的练习做完后,便可以开始设计一些简 单的逻辑电路和系统。很快我们就能过渡到设计相当复杂的数字逻辑系统。当然,复杂的数 字逻辑系统的设计和验证,不但需要系统结构的知识和经验的积累,还需要了解更多的语法 现象和掌握高级的Verilog HDL 系统任务,以及与C 语言模块接口的方法(即PLI),这些已
  3. 所属分类:嵌入式

    • 发布日期:2009-12-26
    • 文件大小:215040
    • 提供者:anny198788
  1. VerilogHDL实例程序代码.rar

  2. 第15章 常用加法器设计 第16章 常用乘法器设计 第17章 伽罗华域GF(q)乘法器设计 第18章 除法器设计 。 。
  3. 所属分类:专业指导

    • 发布日期:2010-01-10
    • 文件大小:28672
    • 提供者:clymk
  1. 北大Veirlog课件清华微电子所verilog课件《设计与验证VerilogHDL》源码实例 和 Verilog规范

  2. 北大的Veirlog课件(HDL语言,Verilog 词汇约定,Verilog中的高级结构,Verilog操作符,Verilog数据类型及逻辑系统,Verilog的可综合描述风格,存储器建模,行为建模)和清华微电子所verilog课件和《设计与验证VerilogHDL》源码实例 和 Verilog规范
  3. 所属分类:专业指导

    • 发布日期:2010-01-26
    • 文件大小:5242880
    • 提供者:colordrv
  1. Verilog HDL入门教程

  2. 资源来源于平时上网的积累,在此分享给大家,只限于用于学习目的! 介绍HDL设计方法,VerilogHDL建模方式,VerilogHDL基本语法,模块结构等。 使读者熟悉VerilogHDL的基本思想
  3. 所属分类:专业指导

    • 发布日期:2010-02-21
    • 文件大小:444416
    • 提供者:huanhuanhdu
  1. verilogHDL精粹

  2. 针对C语言编程者的Verilog开发指南实例 本文举例说明了如何用软件实现脉宽调制(PWM),如何将该设计转换成一个可以在FPGA中运行的逻辑块,并能利用存储器映射I/O接口通过软件完成对该逻辑块的控制。通过理解本文讨论的概念和内容,没有太多硬件知识的软件开发人员也能掌握在FPGA上开发硬件的技能。
  3. 所属分类:C

    • 发布日期:2010-03-21
    • 文件大小:47104
    • 提供者:guangong_gsq
  1. 经典VerilogHDL语言例子48例.7z

  2. 经典VerilogHDL语言例子48例.7z
  3. 所属分类:C/C++

    • 发布日期:2010-03-29
    • 文件大小:69632
    • 提供者:samsho2
  1. 基于Matlab与VerilogHDL的FIR滤波器设计与实现

  2. 基于Matlab与VerilogHDL的FIR滤波器设计与实现
  3. 所属分类:其它

    • 发布日期:2010-04-10
    • 文件大小:264192
    • 提供者:wangkenian
  1. USB2.0控制器CY7C68013与FPGA接口的VerilogHDL实现

  2. USB2.0控制器CY7C68013与FPGA接口的VerilogHDL实现
  3. 所属分类:C

    • 发布日期:2010-04-10
    • 文件大小:366592
    • 提供者:wdd1yx
  1. VerilogHDL硬件描述语言

  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要素 14 3.1 标识符 14 3.2 注释 14 3.3 格式 14 3.4 系统任务和函数 15 3.5 编译指令 15 3.5.1 `defin
  3. 所属分类:C++

    • 发布日期:2010-04-14
    • 文件大小:4194304
    • 提供者:yangxujunboy
  1. Verilog HDL硬件描述语言

  2. VerilogHDL硬件描述语言是跟VHDL硬件描述语言相差不多的硬件描述语言,本书中介绍了Verilog语言的基本语法,并有一部分程序
  3. 所属分类:专业指导

    • 发布日期:2010-04-21
    • 文件大小:3145728
    • 提供者:zqkty
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 43 »