您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Verilog HDL程序设计与实践--云创工作室编著

  2. 有点大,分为两部分(上和下),还有一个超星阅读器 第1章 EDA设计与Verilog HDL语言概述   1.1 EDA设计概述   1.1.1 EDA技术简介   1.1.2 EDA与传统电子系统设计方法   1.1.3 可编程逻辑器件对EDA技术的要求   1.2 Verilog HDL语言简介   1.2.1 硬件描述语言说明   1.2.2 Verilog HDL语言的历史   1.2.3 Verilog HDL语言的能力   1.2.4 Verilog HDL和VHDL语言的比较  
  3. 所属分类:嵌入式

    • 发布日期:2009-08-04
    • 文件大小:14680064
    • 提供者:kygreen
  1. FPGA数字电路设计全流程

  2. 介绍如何编译HDL必须的XILINX仿真库和结构,不同结构类型的仿真,综合后的项目执行,MODELISM编译XILINX库
  3. 所属分类:嵌入式

    • 发布日期:2009-09-25
    • 文件大小:241664
    • 提供者:clarkisaman
  1. Xlinx ISE 9.X FPGA_CPLD设计指南

  2. Xilinx ISE 9.x FPGA/CPLD设计指南 目录 第1章 FPGA设计简介 1 1.1 FPGA结构简介 2 1.1.1 总体结构 2 1.1.2 可配置逻辑块CLB 3 1.1.3 输入输出模块(Input/Output Block) 6 1.1.4 块RAM(BlockRAM) 9 1.2 最新FPGA产品──Virtex-5 10 1.2.1 Virtex-5的特点 10 1.2.2 Virtex-5 LX/LXT平台简介 12 1.2.3 Virtex-5核心技术 15
  3. 所属分类:硬件开发

    • 发布日期:2009-11-27
    • 文件大小:45088768
    • 提供者:jiemizhe000
  1. Xlinx ISE 9.X FPGA_CPLD设计指南

  2. Xilinx ISE 9.x FPGA/CPLD设计指南 目录 (这是本书的第1页到76页,只因只能上传小于20M的文件,其它的也已上传) 第1章 FPGA设计简介 1 1.1 FPGA结构简介 2 1.1.1 总体结构 2 1.1.2 可配置逻辑块CLB 3 1.1.3 输入输出模块(Input/Output Block) 6 1.1.4 块RAM(BlockRAM) 9 1.2 最新FPGA产品──Virtex-5 10 1.2.1 Virtex-5的特点 10 1.2.2 Virtex-
  3. 所属分类:硬件开发

    • 发布日期:2009-11-27
    • 文件大小:18874368
    • 提供者:jiemizhe000
  1. Xlinx ISE 9.X FPGA_CPLD设计指南

  2. Xilinx ISE 9.x FPGA/CPLD设计指南 目录 (这是本书的第77页到140页,只因只能上传小于20M的文件,其它的也已上传) 第1章 FPGA设计简介 1 1.1 FPGA结构简介 2 1.1.1 总体结构 2 1.1.2 可配置逻辑块CLB 3 1.1.3 输入输出模块(Input/Output Block) 6 1.1.4 块RAM(BlockRAM) 9 1.2 最新FPGA产品──Virtex-5 10 1.2.1 Virtex-5的特点 10 1.2.2 Virte
  3. 所属分类:硬件开发

    • 发布日期:2009-11-27
    • 文件大小:19922944
    • 提供者:jiemizhe000
  1. modelsim使用教程

  2. 有关modelsim的内容,介绍 ModelSim5.7 的安装,Xilinx 仿真库的设置等方面的内容。使用TestBench对设计进行仿真。
  3. 所属分类:硬件开发

    • 发布日期:2012-03-14
    • 文件大小:632832
    • 提供者:guasslaw
  1. FPGA三国志

  2. FPGA 三国志-第一篇/不可不看的故事 CPLD 的时代 我在12 年前,偶然接触PLD,没有想到自己居然就在这个行当里安身下来。可是这个行 业也的确是个 飞速发展的行业,十多年过去后,从当初的接近十家主要供应商,到今天已经激烈搏杀后,只有差不多如 论坛题目一样的,成为了今天三足鼎立的局 面。想来想去,决定以这个名字作为论坛的主题。同时也和大 家分享我多年来的一些经历和感受。 全局布线,ISP,PLD,宏单元机构,成为PLD 市场必备的武器。 CPLD 时代,进入我国最早的供应商是Latti
  3. 所属分类:其它

    • 发布日期:2012-12-11
    • 文件大小:1048576
    • 提供者:noodles5320
  1. (熟读专家系列)《ModelSim电子系统分析及仿真》

  2. 《ModelSim电子系统分析及仿真》主要内容简介:ModelSim是优秀的HDL仿真软件之一,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。《ModelSim电子系统分析及仿真》以ModelSim 6.1f版软件为例,由浅入深、循序渐进地
  3. 所属分类:硬件开发

    • 发布日期:2013-08-15
    • 文件大小:48234496
    • 提供者:u011708448
  1. ModelSim SE 10.0a建立并调用Xilinx ISE 13.1仿真库详解

  2. ModelSim SE 10.0a建立并调用Xilinx ISE 13.1仿真库详解 新版的也适用
  3. 所属分类:硬件开发

    • 发布日期:2013-10-24
    • 文件大小:502784
    • 提供者:sy_liuzuo
  1. xilinx+modelsim

  2. xilinx,ise,modelsim,se的仿真库
  3. 所属分类:硬件开发

    • 发布日期:2014-03-17
    • 文件大小:478208
    • 提供者:u013494914
  1. modelsim使用教程

  2. 介绍 ModelSim5.7 的安装,Xilinx 仿真库的设置等方面的内容,对于仿真具有指导意义
  3. 所属分类:硬件开发

    • 发布日期:2015-06-27
    • 文件大小:411648
    • 提供者:friend8_zte2015
  1. ISE基于ModelSim的联合仿真库编译

  2. Xilinx公司的ISE联合ModelSim联合仿真,ModelSim大部分版本(除了ModelSim-XE版本外)都不包含ISE的库文件,如果要联合仿真就需要提前进行Xilinx库编译给ModelSim,但这个库编译是个很复杂且很容易出现错误的环境,在该文档中详述了如何对常用版本ModelSim进行Xilinx库编译进行联合仿真!
  3. 所属分类:其它

    • 发布日期:2015-07-28
    • 文件大小:1018880
    • 提供者:zrkjj521
  1. Xilinx下FPGA 设计全流程

  2. FPGA 设计全流程:Modelsim>>Synplify.Pro>>ISE 第一章 Modelsim 编译 Xilinx 库 第二章 调用 Xilinx CORE-Generator 第三章 使用 Synplify.Pro 综合 HDL 和内核 第四章 综合后的项目执行 第五章 不同类型结构的仿真
  3. 所属分类:硬件开发

    • 发布日期:2008-12-08
    • 文件大小:241664
    • 提供者:mrforever
  1. Modelsim安装及使用教程

  2. 最简单的modesim后仿真库建立(只要点几下鼠标xilinx) 如图建立一个工程,点击工程选项,出现下面的选项,选好Propertirs选项 选好Propertirs选项 在Target simulator 选择对应的modelsim型号 在language 选择verilog,or VHdl,or all 在simulator path中选择 你所安装的modesim文件下的win32目录 其余选项默认。 右健点击complie hdl simulation libraries 选择运行。
  3. 所属分类:嵌入式

    • 发布日期:2008-12-31
    • 文件大小:101376
    • 提供者:weict123
  1. ModelSim 编译 Xilinx库.doc

  2. ModelSim是Mentor Graphics出品的一款VHDL、Verilog、SystemC、SystemVerilog以及混合语言设计的仿真和验证工具。在ModelSim中,所有的设计(包括用户设计以及EDA工具预先提供的设计)必须编译成一个或多个仿真库。ModelSim的仿真库实际是一个目录,它可以看作是存储经过编译的设计单元的“仓库”。ModelSim的仿真库可以分成两类:(1) 本地工作库;(2) 资源库。本地工作库随着我们设计的更新和编译发生变化,可以说,它是动态的(work
  3. 所属分类:硬件开发

    • 发布日期:2019-05-23
    • 文件大小:151552
    • 提供者:drjiachen
  1. Xilinx NEXYS4 SPI flash工程文件

  2. 与教程相应的源代码。根目录包含: 1. 相关文档,nexys4ddr_rm.pdf是开发板文档;S25FL128S是SPI flash芯片文档;ug953-vivado-7series-libraries.pdf是与Xilinx IP相关的文档 2. src/包含所有源代码 3. sim/包含所有仿真所需文件(库文件过大需要自己从Vivado编译) 4. flash/包含Vivado 工程文件
  3. 所属分类:嵌入式

    • 发布日期:2019-08-13
    • 文件大小:8388608
    • 提供者:qimodiy
  1. Xilinx FPGA之波形发生器之IP核CORDIC功能仿真

  2. 1 Xilinx库设置 2、功能仿真
  3. 所属分类:其它

    • 发布日期:2020-07-18
    • 文件大小:33792
    • 提供者:weixin_38715772
  1. 在Modelsim中使用脚本进行仿真

  2. 在对FPGA的设计进行仿真的过程中,调用脚本进行仿真比直接使用GUI更方便。在使用之前,我们需要将Xilinx ISE仿真库文件加载至Modelsim中,这里使用ISE自带的工具 Simulation Library Compilation Wizard 进行加载。
  3. 所属分类:其它

    • 发布日期:2020-07-31
    • 文件大小:52224
    • 提供者:weixin_38547421
  1. modelsim编译库问题

  2. 在做PCIE ip core仿真时,安装的modelsim se 10.0a在编译xilinx的unisims 一直提示can't determine language of ...
  3. 所属分类:其它

    • 发布日期:2020-08-10
    • 文件大小:673792
    • 提供者:weixin_38703980
  1. libsystemctlm-soc:SystemCTLM-2.0协同仿真框架-源码

  2. LibSystemCTLM-SoC 该库包含各种SystemC / TLM-​​2.0模块,可以对Xilinx QEMU,SystemC / TLM-​​2.0模型和RTL进行共同仿真。 QEMU通过libremote-port连接。 它通过序列化/反序列化QEMU事务和TLM通用有效载荷来实现基于套接字的事务协议。 需要通过诸如Verilator之类的工具或等效的商业工具将RTL转换为与SystemC接口的东西。 快速开始 有两种方法可以运行示例 使用Docker映像 docker ru
  3. 所属分类:其它

    • 发布日期:2021-03-16
    • 文件大小:2097152
    • 提供者:weixin_42134769
« 1 23 4 »