您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. FPGA 课件 ppt 程序设计

  2. FPGA 课件参考设计 ~~~~~~~~~~~~~~~
  3. 所属分类:硬件开发

    • 发布日期:2009-06-13
    • 文件大小:440320
    • 提供者:lewislu
  1. 北大verilog课件(pdf版).pdf

  2. 北大的Verilog课件,挺好的,我看了看觉得挺有价值,向大家推荐!
  3. 所属分类:硬件开发

    • 发布日期:2009-07-02
    • 文件大小:2097152
    • 提供者:racerone
  1. FPGA课件1-3章

  2. FPGA课件1-3章 内容详细,分三次上传
  3. 所属分类:硬件开发

    • 发布日期:2009-07-27
    • 文件大小:4194304
    • 提供者:zhishui23
  1. FPGA课件4-6章

  2. FPGA课件4-6章 内容详细,分三次上传
  3. 所属分类:硬件开发

    • 发布日期:2009-07-27
    • 文件大小:3145728
    • 提供者:zhishui23
  1. FPGA课件7、8章

  2. FPGA课件7、8章 内容详细 分3次上传
  3. 所属分类:硬件开发

    • 发布日期:2009-07-27
    • 文件大小:4194304
    • 提供者:zhishui23
  1. FPGA培训课件(华中科技大学)

  2. FPGA培训课件,给竞赛专用的,比较全,需要基础比较好
  3. 所属分类:硬件开发

    • 发布日期:2009-08-08
    • 文件大小:7340032
    • 提供者:henry747647
  1. 北大verilog课件

  2. 北大verilog课件 - Altera论坛 - EDA专业论坛 最专业的FPGA/IC设... 北大verilog课件 精品,不用做太多解释 他们上课用的课件附件: 您所在的用户组无法下载或查看附件 收藏分享评分数字...哪个老师的课件?请回复一下,我可能已经下过了。 数字前端设计实战提高班 8月9日9:30AM正式开班,欢迎预约参加免费...
  3. 所属分类:硬件开发

    • 发布日期:2009-09-10
    • 文件大小:1048576
    • 提供者:callhua
  1. 复旦大学来金梅老师的FPGA课件

  2. 关于FPGA的好东西!来金梅老师的课件!对于要做FPGA的朋友有一定的帮助!
  3. 所属分类:硬件开发

    • 发布日期:2009-09-29
    • 文件大小:4194304
    • 提供者:zqf870314
  1. FPGA cpld 课件

  2. 学习FPGA和cpld的入门级课件,让菜鸟们能够快速进入这个精彩的世界。
  3. 所属分类:硬件开发

    • 发布日期:2009-11-16
    • 文件大小:8388608
    • 提供者:allensrt
  1. fpga课件(上课课件还有课本pdf的)

  2. 集成电路(Small Scale Integrated,SSI) 中规模集成电路(Medium Scale Integrated,MSI) 大规模集成电路(Large Scale Integrated,LSI) 超大规模集成电路(Very Large Scale Integrated,VLSI) 电子设计自动化(Electronic Design Automation,EDA)
  3. 所属分类:硬件开发

    • 发布日期:2009-12-28
    • 文件大小:4096
    • 提供者:sejin0908
  1. 浙江大学研究生FPGA课件材料

  2. 浙江大学研究生用的FPGA课件,参考但是不要传播
  3. 所属分类:硬件开发

    • 发布日期:2010-04-21
    • 文件大小:6291456
    • 提供者:yian454
  1. FPGA学习资料(VHDL语言课件)

  2. 学习VHDL语言和不错的课件,讲述清楚,教学与自学不错的选择
  3. 所属分类:硬件开发

    • 发布日期:2010-04-27
    • 文件大小:6291456
    • 提供者:xiujie
  1. 《FPGA系统设计与实践》光盘材料,包括PPT课件和运用实例,很有用

  2. 《FPGA系统设计与实践》光盘材料,包括PPT课件和运用实例,很有用
  3. 所属分类:硬件开发

    • 发布日期:2010-07-29
    • 文件大小:13631488
    • 提供者:free_fall
  1. 硬件描述语言与数字系统设计--华工课件

  2. 这个是华科的FPGA课件,800多面,涉及的面非常广,每章可能考到的面试,笔试题目都列出来了。。
  3. 所属分类:硬件开发

    • 发布日期:2010-09-24
    • 文件大小:8388608
    • 提供者:cleocss
  1. EDA课件fpga实验指导

  2. EDA课件的简介,对于初学者来说是一个不错的软件 条理性很好
  3. 所属分类:硬件开发

    • 发布日期:2010-12-05
    • 文件大小:862208
    • 提供者:zhuiqiuziyou
  1. fpga课件,ppt格式,faga入门教程

  2. fpga课件,ppt格式,faga入门教程,欢迎大家一起讨论fpga
  3. 所属分类:专业指导

    • 发布日期:2011-04-24
    • 文件大小:11534336
    • 提供者:xdliutianqiao
  1. 复旦大学来金梅老师的FPGA课件

  2. 复旦大学来金梅老师的FPGA课件 经典中的经典 不要错过哦!!!
  3. 所属分类:硬件开发

    • 发布日期:2011-06-30
    • 文件大小:4194304
    • 提供者:mokui_whut
  1. EDA fpga 课件

  2. xilinx fpga eda EDA fpga 课件
  3. 所属分类:嵌入式

    • 发布日期:2011-07-30
    • 文件大小:713728
    • 提供者:zhurong90s
  1. 数字系统设计课件

  2. 课件 数字系统设计课件 Verilog HDL ASIC与PLD ALTERA的CPLD/FPGA 课件
  3. 所属分类:硬件开发

    • 发布日期:2013-10-07
    • 文件大小:4194304
    • 提供者:u012361804
  1. FPGA课件 北京信息科技大学

  2. FPGA课件 北京信息科技大学 FPGA的绝版课件,非常的好!
  3. 所属分类:其它

    • 发布日期:2014-05-22
    • 文件大小:2097152
    • 提供者:bluesyxx
« 12 3 4 5 6 7 8 9 10 »