您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于DSP的温度监测和显示系统的设计与实现.pdf

  2. 基于DSP的温度监测和显示系统的设计与实现pdf,基于DSP的温度监测和显示系统的设计与实现PET ROLEUM INSTRUMENTS 201008 6800 MPU DSP SED1335 65 MHZ( 18 ns). DSP RAM 120ns, TM320240EFG W DSP SED 1335 SEDI335 ELO CCRAM SEL 1 8080 ⅥPU 1SED1335 开始) 计算光标地址 DSP初始化 SYSTEM SET 4CH 选通LCD 设置光标移动方向 SLEEP
  3. 所属分类:其它

    • 发布日期:2019-10-19
    • 文件大小:405504
    • 提供者:weixin_38744153
  1. VBLAST-MC-CDMA系统自适应调制仿真分析.pdf

  2. VBLAST-MC-CDMA系统自适应调制仿真分析pdf,VBLAST-MC-CDMA系统自适应调制仿真分析第22卷第2期 VoL 22 NO. 2 010年2月 系统仿真学报 Feb.,2010 噪比的概率分布密度函数为p(y),则端利分布衰落信道下41用户数固定时系统的自适应调制 瞬时信噪比的概率分布密度函数为: 假设系统的收发大线为2发5收,用户数固定为2,选 p(r)=exp(-y/y (2)择的请制方式有BPSK、QPSK和16QAM三种,其余仿頁 参数如表1所示。系统需要的误码率门限
  3. 所属分类:其它

    • 发布日期:2019-10-13
    • 文件大小:1048576
    • 提供者:weixin_38743602
  1. 柔性生产线监控网络设计.pdf

  2. 柔性生产线监控网络设计pdf,柔性生产线监控网络设计Abstracts 10-06-143 Defects and Solutions for Diecasting of bctwccn rcducc work safcty accident and mcchanical safc quality Zinc Alloys standardization was analyzed. Promote enterprise to improve LIANG Huan-cao (Wah Fung Met
  3. 所属分类:其它

    • 发布日期:2019-10-13
    • 文件大小:150528
    • 提供者:weixin_38743506
  1. 基于盲波束成形的异步CDMA信号伪码估计.pdf

  2. 基于盲波束成形的异步CDMA信号伪码估计pdf,基于盲波束成形的异步CDMA信号伪码估计1162010,46(5) Computer Enginecring and Applications计算机工程与应用 SNR (GCG) 1.5 (17) 1.0 g EGCC GI 0.5 当噪声方差σ远大于信号功率的时候C=(1o2)1,SNB要v pMLo。算法同时利用了扩频增益和天线阵列增益,在低信噪 -1.0 1.0 比条件下具有良好的性能。 1.5 020406080100120 0204060
  3. 所属分类:其它

    • 发布日期:2019-10-13
    • 文件大小:1048576
    • 提供者:weixin_38744435
  1. 基于DS-CDMA系统的PDA+LDPC双迭代接收机.pdf

  2. 基于DS-CDMA系统的PDA+LDPC双迭代接收机pdf,基于DS-CDMA系统的PDA+LDPC双迭代接收机PRODUCTSANDDSOLUITIONS CHINA NEW TELECOMMUNICATIONS 10 10 10 10 P=20.0 P20,Q=1 P=10,0=2 10 P=10,0=2 P5,Q= 2 P5,0=4 10 P=4,Q=5 P2,0=10 0.5 3.5 4.5 55 信噪比 信噪比 图3p=0.2时PDA+LDPC双选代接收机的系统性能 图4p=07时PD
  3. 所属分类:其它

    • 发布日期:2019-10-09
    • 文件大小:269312
    • 提供者:weixin_38743968
  1. 智能建筑中智能变电站通信网络时间性能的探讨通信技术..pdf

  2. 阐述智能变电站通信网络和系统的时间性能概念及其重要性,为智能变电站通信网络和系统的运行维护提供可 测量、可分析的方法和手段。依据智能变电站通信网络和系统标准定义的一致性测试方法和范围,研究讨论其测试方 法的重要性,通过测试手段和方法的分析和描述为智能变电站通信网络和系统定义基本的时间性能要求。同时阐述评 估智能变电站通信网络和系统的时间性能指标,为更好地掌握智能变电站通信网络和系统的时间性能提供全面的分析 方法和检测依据。-146 电力乘镜绿护与控制 通信信息片的属性,不同的报文类型规定
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:631808
    • 提供者:weixin_38744207
  1. 机器人精度设计的方法研究.pdf

  2. 机器人精度设计的方法研究pdf,机器人精度设计的方法研究第10期 吴健荣,等:机器人精度设计的方法研究 ·1369 位姿点的位置误差,它也服从正态分布规律(尽管度作为设计指标,模拟实验能够直接帮助设计者对 两者的标准差a值不同),相应的Ap近似服从Ray-机器人精度进行设计以下是基于概率精度指标对 leigh分布 机器人精度进行设计的基本步骤 机器人设计时,倾向于在整个工作空间内讨论 1)结合机器人正运动学模型,由式(1)、(8)、 它的精度指标满足精度要求的判据是: (9)和(10)建立机器人
  3. 所属分类:其它

    • 发布日期:2019-09-14
    • 文件大小:452608
    • 提供者:weixin_38743481
  1. 简易免刷新聊天室.rar

  2. 简易免刷新聊天室 这个版本以前网上有,我只是将使用过程中几个bug去除,重复发布一下。 使用: 解压,编辑conn.php 建库flashuser 运行install.php karl.luo 08.6.20
  3. 所属分类:其它

    • 发布日期:2019-07-08
    • 文件大小:10240
    • 提供者:weixin_39841365
  1. 栅栏图片展示:延迟加载+ajax

  2. NULL 博文链接:https://catherine-luo.iteye.com/blog/1909802
  3. 所属分类:其它

    • 发布日期:2019-04-21
    • 文件大小:188416
    • 提供者:weixin_38669628
  1. Excel 数据校验项目的设计分享

  2. NULL 博文链接:https://trent-luo.iteye.com/blog/1074807
  3. 所属分类:其它

    • 发布日期:2019-03-24
    • 文件大小:328704
    • 提供者:weixin_38669628
  1. Webx3日志系统的配置

  2. NULL 博文链接:https://trent-luo.iteye.com/blog/1071745
  3. 所属分类:其它

    • 发布日期:2019-03-23
    • 文件大小:657408
    • 提供者:weixin_38669628
  1. 怎么在webx3中取得cookie的值

  2. NULL 博文链接:https://trent-luo.iteye.com/blog/1071739
  3. 所属分类:其它

    • 发布日期:2019-03-23
    • 文件大小:20480
    • 提供者:weixin_38669628
  1. Excel 校验引擎项目设计分享

  2. NULL 博文链接:https://trent-luo.iteye.com/blog/1067432
  3. 所属分类:其它

    • 发布日期:2019-03-23
    • 文件大小:382976
    • 提供者:weixin_38669628
  1. 在WIndows环境下安装Mysql数据库

  2. NULL 博文链接:https://jzinfo.iteye.com/blog/423833工 YSQL Server Inst ance Configuration夏 izard Welcome to the mySQL Server Instance Configuration wizard 1. 0.15.0 The ConFiguration Wizard wvill allow you to conFigure the MySQL Server 5.0 server instance
  3. 所属分类:其它

    • 发布日期:2019-03-16
    • 文件大小:528384
    • 提供者:weixin_38669628
  1. GIT官网上DOWNLOAD的资料

  2. GIT 资料,仅供参考。大家有需要可以到GIT官网上仔细查看。 我没找到怎么调整积分,如果有需要也可以EMAIL:weihairenbo163.com,大家互相学习,一起进步。Table of contents Licence Preface by Scott Chacon Preface by Ben Straub Dedications Contributors,,,,,,,,,,,,,,,,,,,,, Introduction Getting started 2345799 About v
  3. 所属分类:其它

    • 发布日期:2019-03-04
    • 文件大小:11534336
    • 提供者:rb258
  1. 一种高升压比直流变换器

  2. 在分析两类升压型直流变换器拓扑结构的基础上,结合耦合电感类拓扑结构与带自举 结构的 Luo 变换器的特点提出一种高升压比的升压型直流变换器拓扑结构。文中分析了这种拓扑 结构工作原理,并且讨论了拓扑在连续模式和断续模式下的工作状态。设计了拓扑的主要参数, 并通过实验验证了理论的正确。
  3. 所属分类:专业指导

    • 发布日期:2013-01-21
    • 文件大小:1048576
    • 提供者:llqd2004
  1. PTA敲笨钟(C语言版)

  2. 7-8 敲笨钟 (15分) 微博上有个自称“大笨钟V”的家伙,每天敲钟催促码农们爱惜身体早点睡觉。为了增加敲钟的趣味性,还会糟改几句古诗词。其糟改的方法为:去网上搜寻压“ong”韵的古诗词,把句尾的三个字换成“敲笨钟”。例如唐代诗人李贺有名句曰:“寻章摘句老雕虫,晓月当帘挂玉弓”,其中“虫”(chong)和“弓”(gong)都压了“ong”韵。于是这句诗就被糟改为“寻章摘句老雕虫,晓月当帘敲笨钟”。 现在给你一大堆古诗词句,要求你写个程序自动将压“ong”韵的句子糟改成“敲笨钟”。 输入格式
  3. 所属分类:C

    • 发布日期:2020-06-18
    • 文件大小:1001
    • 提供者:qq_43550208
  1. Vue.js devtools 5.3.3 来源 Chrome 网上应用店

  2. 没积分的盆友看这里 微信云上的 https://6c75-luo-l6jol-1300701804.tcb.qcloud.la/devtools.crx?sign=b65b095658e8f04fcc664c95cb9f3fd3&t=1593891615
  3. 所属分类:Web开发

    • 发布日期:2020-07-05
    • 文件大小:381
    • 提供者:time_xueing
  1. LDA模型里Gibbs sampling后验概率详细推导过程

  2. LDA模型的理解对很多人是一种挑战,尤其是参数估计部分。本文档详细给出了TOTLDA和LDA两个主题概率模型的参数估计需要用到的后验概率的推导过程,并采用了两种方法,对主题概率模型研究人员具有很好的启发意义!Gibbs Sampling Derivation for LDA and ToT, Han Xiao, Ping luo Gibbs sampling:为了对x进行佔计,一般我们要从 P(X)≡P 中进行抽样。如果P(X)不易求得,我们可以通过对所有的P(x|X_)进行抽样来近似 其步骤如
  3. 所属分类:专业指导

    • 发布日期:2020-11-17
    • 文件大小:492544
    • 提供者:wtdxhzx
  1. 单片机与DSP中的DSP FPGA在高速高精运动控制器中的应用

  2. 摘要:数字信号处理器具有高效的数值运算能力,并能提供良好的开发环境,而可编程逻辑器件 具有高度灵活的可配置性。本文描述了通过采用TMS320C32浮点DSP和可编程逻辑器件(FPGA)的组合运用来构成高速高速高精运动控制器,该系统通过B样条插值算法对运动曲线进行平滑处理以及运用离散PID算法对运动过程加以控制。   关键词:运动控制; DSP; FPGA; B样条插值; PID Application of Movement-Control System Based on DSP and FPG
  3. 所属分类:其它

    • 发布日期:2020-12-01
    • 文件大小:110592
    • 提供者:weixin_38666114
« 1 2 3 4 56 7 »