您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 按键消抖的原理和基于fpga的消抖设计_明德扬资料

  2. 按键消抖 工程说明 在系统设计中,消除按键抖动的方法五花八门,无论是硬件电路和软件设计都十分成熟。在本项目中,我们将用Verilog语言给出具体实现过程,设计一个程序来检查键值,有效滤除按键抖动区间20 ms的毛刺脉冲。 案例补充说明 在本案例中,我们使用Verilog HDL语言对按键消抖进行了设计,在这个过程中,我们可以了解到不同触发器有不同的工作原理和约束条件,即便是简单的一个按键功能,也有不可忽视的抖动过滤程序,这些都是在以后的设计工作中需要注意的。
  3. 所属分类:嵌入式

    • 发布日期:2017-08-03
    • 文件大小:50176
    • 提供者:goodbey155
  1. 89C52RC 按键消抖控制数码管程序

  2. 独立按键消抖控制数码管程序,简单易懂适合初学者学习,也有助于我们的单片机的学习。
  3. 所属分类:硬件开发

    • 发布日期:2009-04-19
    • 文件大小:46080
    • 提供者:ftpgxm
  1. 通用按键消抖函数 -- 数据与过程分离

  2. 项目里经常处理按键消抖, 本来这个消抖的过程是与具体按下的键无关的, 可以前的代码总是在消抖的同时处理具体的按键值, 再加上长按 短按 组合键混在一起, 成一锅粥. 最近在一个项目中痛下决心, 想弄个通用版本的, 这样下个项目只要将文件包含一下, 处理具体按键值就可以了, 不必再关心消抖部分的代码了. 另外还发现, 这样做可以同时做出几套不同的按键处理方式. 思路是: 按照面向过程的编程方式, 将数据与过程分离. 把和按键状态相关的东西统统塞到结构里, 把消抖的代码放在一个函数中.
  3. 所属分类:硬件开发

    • 发布日期:2018-05-29
    • 文件大小:38912
    • 提供者:hitter_king
  1. FPGA按键消抖程序

  2. 基于VHDL的按键消抖程序,已经经过验证,可以放心使用。另外在我的博客《FPGA按键消抖(附带程序)》中也对该程序进行了说明。
  3. 所属分类:硬件开发

    • 发布日期:2018-06-29
    • 文件大小:5120
    • 提供者:maochuangan
  1. verilog按键消抖

  2. verilog按键消抖代码,已完成封装,可以直接调用。支持动态设置上升沿和下降沿触发,可以通过一个bit来设置具体工作方式,仿真和实际测试均可正常使用。
  3. 所属分类:硬件开发

    • 发布日期:2018-07-06
    • 文件大小:955
    • 提供者:qq_20163647
  1. 基于verilog的按键消抖源代码设计

  2. 基于verilog的按键消抖源代码设计,消除了按下、抬起时的抖动以及外界环境导致的低电平期间的毛刺、抖动。
  3. 所属分类:硬件开发

    • 发布日期:2018-08-09
    • 文件大小:1024
    • 提供者:qq_31799983
  1. 按键消抖模块的设计与验证.docx

  2. 资源是一个docx文档,里面有按键消抖模块的制作实例(Verilog编程),属于Verilog初学的一个小项目,通过这个项目,可以锻炼对状态机的设计思想有一个比较清楚的理解。里面有按键消抖项目中的状态详细分析,文中附有module源码与Testbench源码,可以作为QuartusII&Modelsim平台仿真验证,并附有仿真波形,可以对比分析。
  3. 所属分类:讲义

    • 发布日期:2020-03-06
    • 文件大小:128000
    • 提供者:weixin_42363634
  1. 玩转Altera FPGA:按键消抖原理

  2. 本文图文结合的对按键消抖原理进行了讲解,下面一起来学习一下
  3. 所属分类:其它

    • 发布日期:2020-07-14
    • 文件大小:121856
    • 提供者:weixin_38718434
  1. 基于FPGA 的按键消抖

  2. 1、基于FPGA的按键消抖verilog代码,采用状态机编写代码,直接移植使用。 2、里面包含按键消抖代码和仿真代码,还包含一个word设计文档(文档中对引脚信号和状态机等进行了描述)
  3. 所属分类:嵌入式

    • 发布日期:2020-07-22
    • 文件大小:410624
    • 提供者:m0_37796894
  1. 菜鸟初入FPGA之按键消抖(二段式状态机)

  2. 本文章是关于FPGA之按键消抖(二段式状态机)的。
  3. 所属分类:其它

    • 发布日期:2020-07-20
    • 文件大小:40960
    • 提供者:weixin_38609089
  1. 菜鸟入门之按键消抖

  2. 本文章是关于菜鸟入门之按键消抖 。
  3. 所属分类:其它

    • 发布日期:2020-07-20
    • 文件大小:28672
    • 提供者:weixin_38723559
  1. 基于FPGA的按键消抖你真的设计正确了吗?

  2. 其实这个代码本来不想发的,因为一说按键消抖,FPGA入门的第一个设计就是它。 然而...... 翻开百度结果却很难找到正确的程序。
  3. 所属分类:其它

    • 发布日期:2020-07-18
    • 文件大小:36864
    • 提供者:weixin_38747917
  1. 一种实时性强并且可移植的按键消抖方法

  2. 延时消抖方法是嵌入式系统中常见的按键消抖方法,其通过延时程序跳过抖动态,该方法虽然可行,但既不具备实时性,也不具备可移植性。针对这种情况,提出了一种新的按键消抖方法,该方法通过一个累乘算法来有效识别按键抖动态和稳定态的区别,达到消除抖动影响的目的。
  3. 所属分类:其它

    • 发布日期:2020-08-06
    • 文件大小:93184
    • 提供者:weixin_38597889
  1. 针对独立式按键消抖的verilog硬件描述

  2. 本人是个初学者,在网络上看了一些高手针对独立式按键消抖的verilog建模,为了练手在他们的基础上改编了按键的采样方式,请大家指点!
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:41984
    • 提供者:weixin_38635684
  1. 按键消抖——硬件消抖和软件消抖

  2. 一、按键电路,二、按键消抖,三、硬件消抖,四、软件延时消抖。
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:50176
    • 提供者:weixin_38719643
  1. 按键消抖知识

  2. 本文介绍了按键消抖的硬件消抖和软件消抖两种方法。
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:43008
    • 提供者:weixin_38630358
  1. 一段按键消抖程序

  2. 这篇文章主要介绍了 一段按键消抖程序,先讲解了主题的思路,使学习者能更好的了解,接下来介绍了这个程序编写的内容。
  3. 所属分类:其它

    • 发布日期:2020-07-31
    • 文件大小:35840
    • 提供者:weixin_38741030
  1. 在FPGA内实现按键消抖的方法

  2. 本文主要介绍在FPGA内实现按键消抖的方法。
  3. 所属分类:其它

    • 发布日期:2020-08-12
    • 文件大小:74752
    • 提供者:weixin_38650066
  1. FPGA开发中按键消抖与单脉冲发生器电路

  2. 绍了FPGA开发中按键消抖与单脉冲发生器电路 一些问题和解决的方式方法
  3. 所属分类:其它

    • 发布日期:2020-08-08
    • 文件大小:403456
    • 提供者:weixin_38663113
  1. 按键消抖vhdl(已通过板级验证)

  2. 本资源含有按键消抖的所有代码以及仿真文件,已通过板级验证。实验内容: key0,+1; key1, -1; 最终结果显示在4个led中。
  3. 所属分类:嵌入式

    • 发布日期:2020-08-20
    • 文件大小:3145728
    • 提供者:Somnus_z
« 1 23 4 5 6 7 8 9 10 ... 13 »