您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 使用硬件方式对按键进行消抖处理

  2. 使用硬件方式对按键进行消抖处理,主要用于单片机,以及FPGA。
  3. 所属分类:硬件开发

    • 发布日期:2010-01-02
    • 文件大小:27648
    • 提供者:cjb197
  1. FPGA 按键消抖 Verilog

  2. 主要用于FPGA中按键按下时毛刺的滤除。
  3. 所属分类:硬件开发

    • 发布日期:2011-04-09
    • 文件大小:378880
    • 提供者:zhddxust05
  1. veriolog的按键消抖代码

  2. 具有松手检测功能的按键消抖代码(verolog),整合了特权同学和黑金社区的代码!
  3. 所属分类:嵌入式

    • 发布日期:2011-07-27
    • 文件大小:3072
    • 提供者:scaulinmingbin
  1. 第八章 常规按键的应用

  2. 常规按键的应用,矩阵按键,计算键值,按键服务,按键消抖,独立按键,BCD码键盘,ASCLL码键盘,非编码键盘
  3. 所属分类:专业指导

    • 发布日期:2011-09-29
    • 文件大小:746496
    • 提供者:guiguxinwei
  1. VHDL按键消抖

  2. VHDL按键消抖 还可以吧 挺简单的 不要分 小区看看
  3. 所属分类:专业指导

    • 发布日期:2011-10-28
    • 文件大小:22528
    • 提供者:zhcd20094915
  1. 键盘消抖--verilog

  2. 注释具体,verilog的按键消抖代码,完整
  3. 所属分类:硬件开发

    • 发布日期:2013-08-23
    • 文件大小:1024
    • 提供者:a275761919
  1. 全功能按键程序,支持消抖,长按,组合按键,按两次,三次,四次等

  2. 功能包括按键消抖(支持阻塞和非阻塞两种),长按,组合按键,按两次,三次,四次等不同的键值映射。 分为三部分:主程序main.c部分,只是应用实例(用的是msp430的UART作为交互界面);key.h部分是一些定义,主要需调整的是延时参数;key.c是按键的实现部分
  3. 所属分类:C

    • 发布日期:2013-09-05
    • 文件大小:6144
    • 提供者:arthurzss
  1. verilog按键消抖经典

  2. verilog按键消抖经典程序,采用的边缘检测消抖,边缘检测按键。。
  3. 所属分类:硬件开发

    • 发布日期:2014-08-16
    • 文件大小:1048576
    • 提供者:housir123
  1. 按键滤波电路研习

  2. 按键消抖处理,软件与硬件设计方案 按键是一种机械开关,按下和松开按键的时候会产生抖动,其抖动时间的长 短由按键的机械特性决定,一般为10ms左右,不会超过20ms。抖动一般发生 键按下后20mS内和松开按键前的20ms内。
  3. 所属分类:硬件开发

    • 发布日期:2014-08-30
    • 文件大小:508928
    • 提供者:dxgdsx1
  1. FPGA状态机按键消抖

  2. 利用状态机的形式对按键按下的不同转台进行细分,对高电平低电平进行判断,从而滤除抖动
  3. 所属分类:其它

    • 发布日期:2018-07-19
    • 文件大小:1048576
    • 提供者:lanhp722
  1. key_jitter 按键消抖源代码

  2. 如果使用机械式键盘,由于存在机械触动的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时候也不会马上断开,因而在闭合及断开按键的瞬间均伴随有一连串的抖动
  3. 所属分类:嵌入式

    • 发布日期:2018-10-24
    • 文件大小:475
    • 提供者:qq_41411659
  1. 玩转Altera FPGA:按键消抖与LED开关实例

  2. 本实验还需要用到LED指示灯进行按键状态的指示,实现一个独立按键控制一个发光二极管亮暗状态翻转。下面一起来学习一下
  3. 所属分类:其它

    • 发布日期:2020-07-14
    • 文件大小:124928
    • 提供者:weixin_38538585
  1. 完全释放CPU按键消抖程序

  2. 本程序仅个人想法,供参考与共同探讨,考虑到按键的实时性,此程序用到一个外部中断0,其实也可不用外部中断的,但思路是一样的。
  3. 所属分类:其它

    • 发布日期:2020-08-03
    • 文件大小:41984
    • 提供者:weixin_38686658
  1. 按键消抖电路瞬态设计及分析

  2. 按键是仪器仪表中普遍采用的人机输入接口电路。在按键电路中必须考虑对按键的抖动进行软件消抖和硬件消抖。
  3. 所属分类:其它

    • 发布日期:2020-08-26
    • 文件大小:316416
    • 提供者:weixin_38640830
  1. 电子测量中的按键消抖电路瞬态设计及分析

  2. 按键是仪器仪表中普遍采用的人机输入接口电路。在按键电路中必须考虑对按键的抖动进行软件消抖和硬件消抖。       消抖具有使用硬件数量少的优点,但也具有以下两个缺点:   (1)在仪器键盘电路中,多个按键安装在仪器面板上,键盘的输出通过排线连接到主控板上,此时键盘导线寄生电感和寄生电容的存在,寄生电感寄生电容和排线电阻将组成二阶振荡系统,二阶振荡将形成负电平脉冲,而负电平脉冲很容易超出数字芯片的输入最大允许电平范围,导致数字芯片容易损坏。   (2)按键闭合和断开时,电压信号下降沿非常陡峭
  3. 所属分类:其它

    • 发布日期:2020-10-19
    • 文件大小:352256
    • 提供者:weixin_38665804
  1. EDA/PLD中的基于VHDL语言的按键消抖电路设计及仿真

  2. 按键开关是电子设备实现人机对话的重要器件之一。由于大部分按键是机械触点,在触点闭合和断开时都会产生抖动。为避免抖动引起误动作造成系统的不稳定,就要求消除按键的抖动,确保按键每按一次只做一次响应。随着可编程逻辑器件的综合性能的不断提高,它已经象单片机一样。广泛应用在各种数字逻辑领域。用可编程逻辑器件直接获取键盘信息也得到广泛的应用。这里提出用VHDL语言编程的有限状态机的设计方法来实现按键的消抖,经仿真分析和下载实现,这种方法设计的消抖电路能够很好地实现电路功能,进行快速按键时都能保证每按一次做一
  3. 所属分类:其它

    • 发布日期:2020-11-08
    • 文件大小:157696
    • 提供者:weixin_38617602
  1. EDA/PLD中的EDA的按键消抖电路设计

  2. 如果使用机械式键盘,由于存在机械触动的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时候也不会马上断开,因而在闭合及断开按键的瞬间均伴随有一连串的抖动,如图所示。抖动时间的长短由按键的机械特性诀定,一般为5~10 ms。   如图 按键时的抖动   按键的闭合稳定时间的长短由操作人员的按键动作决定,一般为零点几秒至数秒。按键抖动会引起按键被误读多次,为了确保CPLD对键的闭合仅作一次处理,必须去除按键抖动。   通常在按键较少时可以用硬件方法消除抖动,一般可用RS触发器作为常
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:63488
    • 提供者:weixin_38502916
  1. 按键消抖电路瞬态设计及分析

  2. 按键是仪器仪表中普遍采用的人机输入接口电路。在按键电路中必须考虑对按键的抖动进行软件消抖和硬件消抖。       消抖具有使用硬件数量少的优点,但也具有以下两个缺点:   (1)在仪器键盘电路中,多个按键安装在仪器面板上,键盘的输出通过排线连接到主控板上,此时键盘导线寄生电感和寄生电容的存在,寄生电感寄生电容和排线电阻将组成二阶振荡系统,二阶振荡将形成负电平脉冲,而负电平脉冲很容易超出数字芯片的输入允许电平范围,导致数字芯片容易损坏。   (2)按键闭合和断开时,电压信号下降沿非常陡峭,剧
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:319488
    • 提供者:weixin_38528939
  1. EDA的按键消抖电路设计

  2. 如果使用机械式键盘,由于存在机械触动的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时候也不会马上断开,因而在闭合及断开按键的瞬间均伴随有一连串的抖动,如图所示。抖动时间的长短由按键的机械特性诀定,一般为5~10 ms。   如图 按键时的抖动   按键的闭合稳定时间的长短由操作人员的按键动作决定,一般为零点几秒至数秒。按键抖动会引起按键被误读多次,为了确保CPLD对键的闭合仅作处理,必须去除按键抖动。   通常在按键较少时可以用硬件方法消除抖动,一般可用RS触发器作为常用的
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:65536
    • 提供者:weixin_38631401
  1. 基于VHDL语言的按键消抖电路设计及仿真

  2. 按键开关是电子设备实现人机对话的重要器件之一。由于大部分按键是机械触点,在触点闭合和断开时都会产生抖动。为避免抖动引起误动作造成系统的不稳定,就要求消除按键的抖动,确保按键每按只做响应。随着可编程逻辑器件的综合性能的不断提高,它已经象单片机一样。广泛应用在各种数字逻辑领域。用可编程逻辑器件直接获取键盘信息也得到广泛的应用。这里提出用VHDL语言编程的有限状态机的设计方法来实现按键的消抖,经仿真分析和实现,这种方法设计的消抖电路能够很好地实现电路功能,进行快速按键时都能保证每按做的响应,且性能稳定
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:201728
    • 提供者:weixin_38673921
« 1 2 3 45 6 7 8 9 10 ... 13 »