您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. FPGA 8位单排 按键 键盘 VHDL 程序

  2. FPGA 8位单排 按键 键盘 VHDL 程序 ,内附消抖程序,已通过q2综合,可直接使用,基于cylcone
  3. 所属分类:硬件开发

    • 发布日期:2009-08-18
    • 文件大小:232448
    • 提供者:lytty100
  1. 按键去除抖动的相关介绍

  2. 机械开关按下时机械触点接触不可靠,在动作初期会产生多次抖动,从而对其后的电路形成多次输入 ,有可能使电路输出不正确。因此有必要设计一个按钮消抖电路,将抖动屏蔽掉
  3. 所属分类:专业指导

    • 发布日期:2009-10-30
    • 文件大小:113664
    • 提供者:gogowld
  1. 按键状态机通过标志位来切换

  2. 该程序是状态机式的键盘,可以通过几个状态来转换来确定按键的状态,还可以消抖,以防错判。
  3. 所属分类:C/C++

    • 发布日期:2011-05-15
    • 文件大小:3072
    • 提供者:yihuohog
  1. FPGA按键消斗verilog

  2. FPGA中的按键消抖verilog程序 很好的学习资料,你值得学习,verilog HDL
  3. 所属分类:硬件开发

    • 发布日期:2012-12-18
    • 文件大小:361472
    • 提供者:shancheli12
  1. vhdl按键消抖

  2. 关于vhdl的消抖程序, library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity shift is port(sin,cp:in std_logic;f:out std_logic); end shift; architecture netlist1 of shift is component dff1 port(d,cp:i
  3. 所属分类:专业指导

    • 发布日期:2013-05-10
    • 文件大小:1024
    • 提供者:s10311113
  1. 按键程序状态机方法

  2. 按键消抖采用定时器里查询状态机的方式。和以往的延时程序不一样。
  3. 所属分类:C

    • 发布日期:2014-04-17
    • 文件大小:1048576
    • 提供者:topgunliu
  1. 基于vhdl电子琴设计 代码

  2. 使用4*4矩阵键盘实现了简易电子琴功能,带有按键消抖电路 代码注释比较详细 代码使用VHDL实现 亲测可用
  3. 所属分类:硬件开发

    • 发布日期:2014-04-21
    • 文件大小:19456
    • 提供者:wxedmend
  1. 51单片机,单按键加一c源程序

  2. 本c程序含有按键消抖程序,可以实现每按一次实现数字增一并通过数码管显示
  3. 所属分类:C

    • 发布日期:2014-04-29
    • 文件大小:478
    • 提供者:qq_14992451
  1. FPGA的按键设计

  2. 作为对硬件FPGA的初步了解,理解如何进行按键消抖的很好的东西,
  3. 所属分类:网管软件

    • 发布日期:2015-05-08
    • 文件大小:1024
    • 提供者:yihuanyihuan
  1. 按键长短按程序及其运用

  2. 此代码包括按键消抖,长短按键的实现,注释清楚 适合大家参考
  3. 所属分类:C

    • 发布日期:2015-06-28
    • 文件大小:30720
    • 提供者:emily5158
  1. 基于FPGA消除按键抖动

  2. 基于FPGA消除按键抖动的设计,亲测效果良好
  3. 所属分类:硬件开发

    • 发布日期:2015-06-28
    • 文件大小:198656
    • 提供者:qq_29382871
  1. 按键消抖程序

  2. 該程序用于嵌入式下,裸机程序,用于板子消除抖动的程序
  3. 所属分类:C

    • 发布日期:2016-02-25
    • 文件大小:494
    • 提供者:xcsl1314
  1. 单片机按键消抖(实时性)

  2. 单片机按键扫描程序,不使用软件延时和定时器,方便移植,便于理解。
  3. 所属分类:硬件开发

    • 发布日期:2016-05-16
    • 文件大小:40960
    • 提供者:hubj627
  1. 51单片机程序(矩阵键盘、独立按键、数码管、PWM输出)

  2. 内含51单片机程序主要包含矩阵键盘、独立按键、数码管以及PWM输出的程序,独立按键消抖,矩阵键盘控制8位静态数码管,矩阵键盘控制8位动态数码管。很适合于51单片机的学习。
  3. 所属分类:C

    • 发布日期:2018-07-27
    • 文件大小:49152
    • 提供者:qq_34706266
  1. STM32 按键FIFO的实现.rar

  2. 1、设计按键FIFO的优点   要介绍实现按键FIFO的优点,首先要了解FIFO的一些基本概念。FIFO即First In First Out,是一种先进先出的数据缓存方式,例如在超市购物之后我们会提着满满的购物车来到收银台排在结账队伍的最后等待付款,先排队的客户先付款离开,后面排队的只有等待前面付款离开才能进行付款。说白了FIFO就是这样一种先进先出机制,先存入的数据在读取时最先被读取到。   设计按键FIFO注意有三个方面的优点(来自于安富莱电子Eric2013大佬总结):
  3. 所属分类:C

    • 发布日期:2020-03-18
    • 文件大小:51200
    • 提供者:u010474219
  1. 10. 按键控制实验.rar

  2. STM32按键控制程序 按键是一种电子开关,使用时轻轻按开关按钮就可使开关接通,当松开 手时,开关断开。由于机械点的弹性作用,按键开关在闭合时不会马上稳定的接通,在 断开时也不会一下子断开,因而在闭合和断开的瞬间均伴随着一连串的 抖动。抖动时间的长短由按键的机械特性决定的,一般为 5ms 到 10ms 。按键稳定闭合时间的长短则由操作人员的按键动作决定的,一般为零 点几秒至数秒。按键抖动会引起按键被误读多次。为了确保 CPU 对按键 的一次闭合仅作一次处理,必须进行消抖。 按键消抖有两种方式,
  3. 所属分类:C/C++

    • 发布日期:2019-08-03
    • 文件大小:1048576
    • 提供者:pelican993
  1. 关于ARM的独立按键识别程序消抖的讨论

  2. 我相信在嵌入式系统中,按键作为输入设备,是经常存在于各种系统中的。当然按键或者叫做键盘 分很多种,我们最常用的当然是 独立按键 和 矩阵键盘 当然我们这里不是要讨论这两种键盘的区别与联系,也不讨论着两种键盘的识别代码。我们今天只讨论独立按键的识别方法。或者说有别于传统 的一种识别方法。
  3. 所属分类:其它

    • 发布日期:2020-08-03
    • 文件大小:73728
    • 提供者:weixin_38595356
  1. 利用verilog状态机实现按键防抖动.docx

  2. 通过verilog实现按键防抖动设计,利用状态机实现该按键消抖操作,内附程序代码和仿真代码,可直接运行
  3. 所属分类:其它

    • 发布日期:2020-08-11
    • 文件大小:20480
    • 提供者:jojokuma
  1. 单片机与DSP中的VHDL设计的消抖与滤波

  2. 在同一块电路板上,由于信号线的走线过长而产生的高频毛刺我们可以通过在接近输入端串联一个100欧左右的电阻来滤除。但是对于板外信号,或者板内其他干扰造成较大的抖动时只好采用积分电路来滤波,即串一个电阻还要并一个电容接地。      同样在VHDL中我们可以采用类似的办法,对于小于触发器建立时间的毛刺可以用时钟打一下实现滤波。但对于开关或按键抖动等较大的干扰,我们可以采用延时比较法或积分法,或者二者并用。     比较法:这个方法很好理解,就是若干个时钟周期读取的数据相同时我们认为收到了一个
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:40960
    • 提供者:weixin_38545923
  1. 利用状态机控制按键

  2. 按键消抖: 1.硬件消抖 利用电容的充放电特性来对抖动过程中产生的电压毛刺进行平滑处理,从而实现消抖。但实际应用中,这种方式的效果往往不是很好,而且还增加了成本和电路复杂度,所以实际中使用的并不多。 2.软件消抖 当检测到按键状态变化后,先等待一个10ms左右的延时时间,让抖动消失后再进行一次按键状态检测,如果与刚才检测到的状态相同,就可以确认按键已经稳定的动作了。 一、选择时钟源 二、配置调试接口 三、引脚分配 单击对应引脚,在这里 PA5:输出模式,控制指示灯 PC13:输入模式,控制按
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:1012736
    • 提供者:weixin_38661466
« 1 2 3 4 5 67 8 9 10 11 12 13 »