您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 多功能数字钟设计PPT

  2. 多功能数字钟设计PPT,包括校时、整点报时等各部分的电路及详细说明
  3. 所属分类:专业指导

    • 发布日期:2010-05-28
    • 文件大小:435200
    • 提供者:wdalfred
  1. LCD显示的数字钟(1602+89s52)

  2. 一个基于89S52的单片机,并用1602LCD显示的数字钟
  3. 所属分类:硬件开发

    • 发布日期:2010-05-30
    • 文件大小:340992
    • 提供者:su_shan
  1. 2004年山东省大学生电子竞赛多功能数字钟

  2. 2004年山东省大学生电子竞赛 多功能数字钟
  3. 所属分类:专业指导

    • 发布日期:2010-05-30
    • 文件大小:348160
    • 提供者:ly19880927
  1. 利用FPGA中的VHDL语言设计的数字钟

  2. 利用FPGA中的VHDL语言设计的数字钟 利用FPGA中的VHDL语言设计的数字钟
  3. 所属分类:硬件开发

  1. EDA数字钟实现的源代码

  2. EDA实验的经典题目:数字钟的实现。此数字钟可实现可调可控。
  3. 所属分类:C/C++

    • 发布日期:2010-06-02
    • 文件大小:3072
    • 提供者:JingJing1224
  1. 数字钟 multisim10文件

  2. multisim10文件,直接打开就可运行。数字钟 秒 分 时, 整点报时, 报警器
  3. 所属分类:专业指导

    • 发布日期:2010-06-05
    • 文件大小:655360
    • 提供者:guwei0221
  1. 再发一个单片机多功能数字钟(十八组打铃程序,一组闹钟,原理图)

  2. 采用八位共阳数码管,STC89c51单片机的多功能那个数字钟,程序设置了十八组打铃程序,并可通过按键设置一组闹钟,带有继电器和蜂鸣器,源程序有注释c51,并附有PDF的原理图。功能能强大制作简单。
  3. 所属分类:硬件开发

    • 发布日期:2010-06-05
    • 文件大小:71680
    • 提供者:tigerlihuhu
  1. 基于单片机的多功能数字钟系统设计

  2. 设计了一款能够显示时间信息、环境温度、电网电压、电网频率的多功能数字钟。并介绍了系统组成以及硬件电路和软件设计。
  3. 所属分类:硬件开发

    • 发布日期:2010-06-06
    • 文件大小:108544
    • 提供者:shi_hai_ling
  1. 应用c语言编制的数字钟芯片可用at89s52

  2. 用c语言编制的数字钟 仿真中蜂鸣器没有用三极管 实物中要加个pnp三极管才能响 数码管是共阴的
  3. 所属分类:C

    • 发布日期:2010-06-08
    • 文件大小:68608
    • 提供者:z10128719
  1. 功能比较完备的数字钟

  2. 功能完备的数字钟 应该不能算多功能的数字钟 与电子表的功能差不多
  3. 所属分类:专业指导

    • 发布日期:2010-06-08
    • 文件大小:84992
    • 提供者:z10128719
  1. C语言设计数字钟和秒表

  2. 采用KEIL和Proteus设计的数字钟和秒表,源代码和电路图都完整。课设具有如下特点: 独立时钟和秒表 时钟采用24h计时,最大数值为23:59:59 秒表最大计时为99:99.99s 时钟和秒表可以相互切换,互不影响。 时钟和秒表都具有调节功能。
  3. 所属分类:硬件开发

    • 发布日期:2010-06-09
    • 文件大小:53248
    • 提供者:wfqsyyy
  1. 单片机课程设计:数字钟和秒表(汇编实现)

  2. 单片机课程设计:数字钟和秒表。采用Keil和proteus实现,程序采用汇编实现,源代码和电路图都有,资源很完整。适合电信类专业的51单片机课程设计参考。
  3. 所属分类:硬件开发

    • 发布日期:2010-06-09
    • 文件大小:53248
    • 提供者:wfqsyyy
  1. 微机原理 PROTUES 设计数字钟

  2. 用PROTUES仿真实现数字钟,使用可编程8253、8255和8259。键盘调控
  3. 所属分类:嵌入式

    • 发布日期:2010-06-10
    • 文件大小:50176
    • 提供者:nyufw520
  1. 数字电子技术课程设计---数字钟

  2. 数字电子技术课程设计---数字钟 绝对本人亲自设计(附有设计思路方案),实验结果完全符合要求!!电路原理相对网上其它同类电路简单,所用元件都是课本(阎石 第五版)上讲过的,电路由74ls160,一个555定时器,显示数码管以及与非门组成,学过数电的朋友基本都能看懂,因时间太紧,没来得及把仿真波形和插线效果记下来。但我想有了原理图一切都好办了! 另附: 简易数字频率计 交通灯控制器 抢答器 (附有原理图) 这三个题目设计思路方案!
  3. 所属分类:交通

    • 发布日期:2010-06-12
    • 文件大小:871424
    • 提供者:hailang2009ok
  1. 简单数字钟汇编语言设计

  2. 单片机汇编数字钟 ORG 0000H LJMP START ORG 000BH ;定时器/计数器T0中断程序入口 LJMP INTT0 ;主程序 START:MOV R0,#70H MOV R7,#0CH INIT: MOV @R0,#00H INC R0 DJNZ R7,INIT 0C单元为清零则转INIT MOV 72H,#10 MOV 75H,#10 MOV TMOD,#01H 设定工作方式,T0工作于模式1 MOV TL0,#0B0H 设初值
  3. 所属分类:硬件开发

    • 发布日期:2010-06-18
    • 文件大小:54272
    • 提供者:huagea123
  1. EDA数字钟经典实验

  2. EDA数字钟的经典之作,自己亲身实践,没一点问题,老师也验证过
  3. 所属分类:专业指导

    • 发布日期:2010-06-19
    • 文件大小:238592
    • 提供者:liangxun11
  1. 单片机数字钟的设计(包含程序及详细过程)

  2. 单片机数字钟的设计+包含电路图+c语言程序+mcs-51单片机 数字钟的设计及相关知识 能孕育偶那个与制作电子钟
  3. 所属分类:硬件开发

    • 发布日期:2010-06-19
    • 文件大小:146432
    • 提供者:yzq6010
  1. vhdl数字钟,时钟

  2. 数字钟,具有调时,调分,还有整点报时功能。
  3. 所属分类:专业指导

    • 发布日期:2010-06-22
    • 文件大小:5120
    • 提供者:zhangbenyundage
  1. 简易数字钟.74系列芯片

  2. 用74系列芯片连接的数字钟,简易并且易于实现。
  3. 所属分类:嵌入式

    • 发布日期:2010-06-24
    • 文件大小:1048576
    • 提供者:liran464631996
  1. 多功能数字钟课程设计

  2. 数字钟课程设计数字数字钟课程设计钟课程设计数字钟课程设计
  3. 所属分类:专业指导

    • 发布日期:2010-06-26
    • 文件大小:701440
    • 提供者:wysljj04281017
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 50 »