您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 单片机课程设计报告-数字钟的设计与实现

  2. 基于单片机的数字钟的设计与实现,主要讨论了它从软件上实现的过程,流程图的设定,电路图的绘制,重点在单片机特有的定时中断方式,在最后富有采用中断方式实现的数字钟的源程序。
  3. 所属分类:硬件开发

    • 发布日期:2009-12-26
    • 文件大小:119808
    • 提供者:lalias
  1. 数字钟的设计-数字电路

  2. 数字钟主要分为数码显示器、60进制和12进制计数器、频率振荡器和校时这几个部分。数字钟要完成显示需要6个数码管,八段的数码管需要译码器械才能显示,然后要实现时、分、秒的计时需要60进制计数器和12进制计数器,在在仿真软件中发生信号可以用函数发生器仿真,频率可以随意调整。60进制可能由10进制和6进制的计数器串联而成,而小时的12进制可以采用74LS191的十进制计数器和D触发器来产生计数和进位。频率振荡器可以由晶体振荡器分频来提供,也可以由555定时来产生脉冲并分频为1HZ。
  3. 所属分类:嵌入式

    • 发布日期:2009-12-26
    • 文件大小:212992
    • 提供者:k0307411
  1. 基于FPGA的多功能数字钟

  2. 数字钟的基本功能,包括详细的Verilog语言,还有一份Word报告
  3. 所属分类:硬件开发

    • 发布日期:2009-12-28
    • 文件大小:359424
    • 提供者:liyang87311
  1. 数子电路数字钟课程设计

  2. 数字钟的课程设计报告...word 文档 ... 已排好版...可直接下载下来用...
  3. 所属分类:专业指导

    • 发布日期:2009-12-28
    • 文件大小:397312
    • 提供者:andy19880421
  1. 数字钟综合设计实验资料

  2. 数字钟综合设计实验相关资料,您可以下载看一下。
  3. 所属分类:专业指导

    • 发布日期:2009-12-29
    • 文件大小:4096
    • 提供者:neron
  1. 数字钟的可编程逻辑器件实现

  2. 完整的Quartus工程,实现数字钟的全部功能,计时、整点报时、任意时刻报时、可调闹铃
  3. 所属分类:硬件开发

    • 发布日期:2009-12-29
    • 文件大小:495616
    • 提供者:engineer_wstt
  1. 2004年山东省大学生电子设计竞赛一等奖 多功能数字钟

  2. 2004年山东省大学生电子设计竞赛一等奖 多功能数字钟(B题)
  3. 所属分类:专业指导

    • 发布日期:2009-12-29
    • 文件大小:263168
    • 提供者:marsfgl06
  1. c51程序2—8951+1602数字钟(原创).doc

  2. c51程序2—8951+1602数字钟(原创).doc
  3. 所属分类:专业指导

    • 发布日期:2009-12-30
    • 文件大小:67584
    • 提供者:lygss
  1. 多功能数字钟设计 数电课程设计专用

  2. 电子数字钟的应用十分广泛,通过计时精度很高的石英晶振,采用相应进制的计数器,转化为二进制数,经译码和显示电路准确的将时间"时""分""秒"用数字方式显示出来
  3. 所属分类:专业指导

    • 发布日期:2010-01-03
    • 文件大小:394240
    • 提供者:qiaoyt007
  1. 数字逻辑数字钟的课程设计报告

  2. 数字逻辑数字钟的课程设计报告,希望大家指正。应该很好吧!
  3. 所属分类:专业指导

    • 发布日期:2010-01-03
    • 文件大小:136192
    • 提供者:yuwenrui2009
  1. 数字逻辑课程设计《数字钟的设计》报告和电路图

  2. 此压缩包为数字逻辑课程设计的《数字钟》的设计。里面包括详细的报告设计过程 和 详细的电路图,以及每一步的详细参数,对要进行《数字钟》设计的很有帮助!
  3. 所属分类:专业指导

    • 发布日期:2010-01-04
    • 文件大小:537600
    • 提供者:yangyi2083334
  1. 多功能数字钟课程设计

  2. 多功能数字钟课程设计,定时控制; 仿广播电台正点报时; 报整点时数; 触摸报整点时数 附带仿真
  3. 所属分类:嵌入式

    • 发布日期:2010-01-05
    • 文件大小:701440
    • 提供者:taolei372817455
  1. 8051单片机数字钟设计

  2. 适用于初学者,课程设计,8051单片机数字钟设计
  3. 所属分类:硬件开发

    • 发布日期:2010-01-05
    • 文件大小:25600
    • 提供者:lico001
  1. 数字逻辑 课程设计 VHDL 多功能数字钟(1)

  2. 数字逻辑 课程设计 VHDL 多功能数字钟 这个数字钟是我根据我老师的设计自己改编的,内部结构变化挺大的,功能也比较全。 1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2、设计精度要求为1秒。 (一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。 (二)校时:在计时显示状态下,k=1,进入“小时”校准状态,之后按下“k=1”则进入“分”校准状态,继续按下“k=1”则进入“调秒”状态,第三次按下“k键”又恢复到正常计时显示状态。 (1)“小时”
  3. 所属分类:专业指导

    • 发布日期:2010-01-06
    • 文件大小:233472
    • 提供者:ktboy0502
  1. 数字逻辑 课程设计 VHDL 多功能数字钟(2)

  2. 数字逻辑 课程设计 VHDL 多功能数字钟 这个数字钟是我同学根据老师那个改编的,功能很强大!同时免费赠送设计报告以及.scf .vhd文件 1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2、设计精度要求为1秒 (一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。 (二)校时:在计时显示状态下,按下“set键”,进入“小时”校准状态,之后按下“k键”则进入“分”校准状态,继续按下“k键”则进入“秒复零”状态,第三次按下“k键”又恢复到正常计时
  3. 所属分类:专业指导

    • 发布日期:2010-01-06
    • 文件大小:154624
    • 提供者:ktboy0502
  1. 数字逻辑 课程设计 VHDL 多功能数字钟

  2. 数字逻辑 课程设计 VHDL 多功能数字钟 这个数字钟是我老师的设计,网上很难找到,但设计的很绝!已有设计报告 1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2、设计精度要求为1秒 (一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。 (二)校时:在计时显示状态下,按下“set键”,进入“小时”校准状态,之后按下“k键”则进入“分”校准状态,继续按下“k键”则进入“秒复零”状态,第三次按下“k键”又恢复到正常计时显示状态。 (1)“小时”校准
  3. 所属分类:专业指导

    • 发布日期:2010-01-06
    • 文件大小:98304
    • 提供者:ktboy0502
  1. EDA课程设计 数字钟课程设计

  2. EDA课程设计 数字钟课程设计 EDA课程设计 数字钟课程设计
  3. 所属分类:专业指导

    • 发布日期:2010-01-06
    • 文件大小:364544
    • 提供者:lijiajunyxl
  1. DS1602显示的可调时间、日期、星期、闹铃的数字钟

  2. 最近调试成功的数字钟,用DS1602作显示第一排显示:年-月-日 星期 第二排显示:时:分:秒可自行设置时间、日期、星期以及设置闹铃
  3. 所属分类:C

    • 发布日期:2010-01-08
    • 文件大小:45056
    • 提供者:hsllssmxian
  1. eda课程设计 完整的数字钟带报告

  2. 数字钟设计 源代码 以及Word报告均有
  3. 所属分类:专业指导

    • 发布日期:2010-01-09
    • 文件大小:1048576
    • 提供者:wangbadanshagua
  1. 数字钟verilog语言实现

  2. 这是用verilog语言实现的数字钟,以FPGA为应用平台,实现了精确计时,以及电台报时和闹钟等功能
  3. 所属分类:硬件开发

    • 发布日期:2010-01-11
    • 文件大小:657408
    • 提供者:chichimeimei
« 1 2 3 4 5 67 8 9 10 11 ... 50 »