您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 多功能数字钟----数字电路实验报告

  2. 数字钟是采用数字电路实现对时,分,秒。数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运运超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便而且大大地扩展了钟表原先的报时功能。因此,研究数字钟及扩大其应用,有着非常现实的意义。
  3. 所属分类:硬件开发

    • 发布日期:2009-07-03
    • 文件大小:698368
    • 提供者:gali2009
  1. 单片机课程设计---数字钟设计

  2. 1 引言……………………………………………………………………………… 1 1.1单片机的应用领域………………………………………………………………1 1.1.1 数字钟的介绍…………………………………………………………………1 2 硬件系统设计 ……………………………………………………………………1 2.1 电路组成及工作原理 …………………………………………………………1 2.2 时钟电路……………………………………………………………………… 2 2.3复位电路…………………………………………
  3. 所属分类:硬件开发

    • 发布日期:2009-07-04
    • 文件大小:120832
    • 提供者:qwb520012
  1. 数字钟的设计(数字逻辑课程设计)

  2. 数字逻辑的课程设计——数字钟的设计 附有总电路图和各部分电路的电路图
  3. 所属分类:专业指导

    • 发布日期:2009-07-06
    • 文件大小:464896
    • 提供者:snser
  1. 数字逻辑实验报告---数字钟

  2. 本系统作为一个数字钟系统,具有显示时、分、秒,校时和整点报时的功能;对于校时功能,可以对小时,分和秒单独校时,输入方式为手动输入;对于整点报时的功能,时钟在整点时开始报时,报时一分钟后停止。
  3. 所属分类:专业指导

    • 发布日期:2009-07-07
    • 文件大小:154624
    • 提供者:yolandayi
  1. 电子技术课程设计——数字钟的设计与制作

  2. 课程设计题目:数字钟的设计与制作 (一)设计指标: 1.显示时、分、秒。采用24小时制。 2.制作、调试出一个具有直流电源、简易信号源及用来计“时”“分”“秒”的数字钟系统。并按照直流电源、简易信号源、及“秒”、“分”进位和“时”循环进位是否正常给予不同记分。 3.具有校时功能,可以对小时和分单独校时,对分校时的时候,停止分向小时进位。校时时钟源可以手动输入或借用电路中的时钟。 (二)具体要求: 1.设计方案的论证和选择 (1)、方案提出 *查阅资料确定数字钟的电路框图。 * 提出两种以上数字
  3. 所属分类:嵌入式

    • 发布日期:2009-07-08
    • 文件大小:2097152
    • 提供者:yemao7758
  1. vhdl语言编写的数字钟,带闹钟的

  2. vhdl语言编写的数字钟,带闹钟的,也有整点报时的功能,各模块,元件例化得都有
  3. 所属分类:专业指导

    • 发布日期:2009-07-09
    • 文件大小:417792
    • 提供者:duffang
  1. 数字电路课程设计 数字钟

  2. 关于数字逻辑电路课设的数字钟电路图,完整电路及部分电路
  3. 所属分类:专业指导

    • 发布日期:2009-07-12
    • 文件大小:428032
    • 提供者:zhengxf11
  1. 数字电子技术课程设计——数字钟的设计与制作

  2. 数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。
  3. 所属分类:专业指导

    • 发布日期:2009-07-13
    • 文件大小:1048576
    • 提供者:youhimer
  1. 数字钟原理图数字钟原理图数字钟原理图

  2. 数字钟原理图数字钟原理图数字钟原理图数字钟原理图
  3. 所属分类:专业指导

    • 发布日期:2009-07-14
    • 文件大小:97280
    • 提供者:meimeibuku
  1. 数字钟电路的设计 实现对时、分、秒数字显示

  2. 数字钟是一种采用数字电路实现对时、分、秒数字显示的计时装置。本文运用555定时器构成的多谐 振荡器作为数字钟的时钟源设计了一种简单的数字钟电路,以实现电路对时间的显示。要求设计的数字电路不仅 可实现计时功能还应具有一定的扩展功能。
  3. 所属分类:专业指导

    • 发布日期:2009-07-18
    • 文件大小:209920
    • 提供者:ddc2006
  1. 数字钟课程设计(用HDL设计一个多功能数字钟)

  2. 用HDL设计一个多功能数字钟,包含以下主要功能: 1.计时及校时,时间可以24小时制或12小时制显示 2.日历:显示年月日星期,及设定设定功能 3.跑表:启动/停止/保持显示/清除 4.闹钟:设定闹钟时间,整点提示
  3. 所属分类:专业指导

    • 发布日期:2009-07-18
    • 文件大小:142336
    • 提供者:chenaiwang
  1. 基于VHDL的数字钟程序

  2. 基于VHDL的数字钟程序能实现校时校分功能,整点报时功能等
  3. 所属分类:专业指导

    • 发布日期:2009-07-19
    • 文件大小:5120
    • 提供者:smllcl
  1. 数字钟的电路图用Multisim10做的

  2. 数字钟的电路图用Multisim10做的。希望电子爱好者有用
  3. 所属分类:专业指导

    • 发布日期:2009-07-22
    • 文件大小:346112
    • 提供者:LOVE198766
  1. 数电课程设计多功能数字钟

  2. ① 设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 ②具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。 ③有译码、七段数码显示功能,能显示时、分、秒计时的结果。 ④设计提供连续触发脉冲的脉冲信号发生器, ⑤具有校时单元、闹钟单元和整点报时单元。 ⑥确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。
  3. 所属分类:专业指导

    • 发布日期:2009-07-23
    • 文件大小:1048576
    • 提供者:shang111213
  1. 嵌入式课程设计数字钟程序代码

  2. 嵌入式课程设计数字钟程序代码 嵌入式课程设计数字钟程序代码
  3. 所属分类:硬件开发

    • 发布日期:2009-07-27
    • 文件大小:194560
    • 提供者:xy318575767
  1. 单片机数字钟protues仿真

  2. 本资料是用c语言写的基于单片机的数字钟,并附上protues仿真验证
  3. 所属分类:硬件开发

    • 发布日期:2009-07-28
    • 文件大小:48128
    • 提供者:yangxiaoyu1987
  1. 基于51单片机带闹铃功能的数字钟设计.rar

  2. 基于51单片机带闹铃功能的数字钟设计.rar
  3. 所属分类:硬件开发

    • 发布日期:2009-07-28
    • 文件大小:18432
    • 提供者:feilulu
  1. 数字钟数码管显示时分秒

  2. 里面有数字钟的全部资料,能够进行时,分,秒的调节!很好 用的!能够很准确的显示时间的!
  3. 所属分类:专业指导

    • 发布日期:2009-07-30
    • 文件大小:1048576
    • 提供者:wq234124895
  1. 数字钟毕业设计 基于单片机

  2. 毕业设计 数字钟毕业设计 基于单片机
  3. 所属分类:硬件开发

    • 发布日期:2009-08-04
    • 文件大小:2097152
    • 提供者:di0000
  1. verilog 实现数字钟

  2. 自己编写的verilog数字钟 经过硬件验证
  3. 所属分类:专业指导

    • 发布日期:2009-08-14
    • 文件大小:283648
    • 提供者:gaylexia
« 1 2 34 5 6 7 8 9 10 ... 50 »