您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字钟的VHDL设计

  2. 完整的数字钟设计,基于VHDL语言.数字钟包括秒模块,分模块,小时模块,时钟控制模块,时钟译码模块,调整时间模块,分频模块,2路MUX模块,-触发翻转模块,按键消抖模块。内容齐全
  3. 所属分类:其它

    • 发布日期:2009-10-22
    • 文件大小:11264
    • 提供者:shiyanfei2010
  1. 单片机的实时数字钟设计

  2. DOC的数字钟设计,详细的设计过程与源程序!
  3. 所属分类:硬件开发

    • 发布日期:2009-11-02
    • 文件大小:326656
    • 提供者:lycon1987
  1. 多功能数字钟很详细的设计

  2. DOC的数字钟!有详细的介绍设计过程!附有电路图,
  3. 所属分类:专业指导

    • 发布日期:2009-11-02
    • 文件大小:211968
    • 提供者:lycon1987
  1. EDA实验程序(多功能数字钟)

  2. 该数字钟可以实现12、24制计时转换,整点报时,状态控制,调时调分,等等
  3. 所属分类:专业指导

    • 发布日期:2009-11-18
    • 文件大小:1048576
    • 提供者:hee0902
  1. 数字电路课程设计数字钟

  2. 数字电路课程设计之---数字电路课程设计数字钟 包括原理讲解和电路图
  3. 所属分类:专业指导

    • 发布日期:2009-11-24
    • 文件大小:389120
    • 提供者:p553443984
  1. 多功能数字钟设计8563带键盘,有源程序

  2. 多功能数字钟是以8051单片机为控制器构成的,由时钟模块、闹钟模块、键盘模块、显示器模块等模块组成。时钟由专用时钟芯片实现时间的计量,通过键盘和数码管显示可方便地校对时钟和设置闹钟时间。时钟模块在硬件设计时,串行总线接口较并行总线接口较为方便.设计选用了PHILIPS公司的时钟芯片PCF8563。由于按键较少选用了独立式按键电路
  3. 所属分类:硬件开发

    • 发布日期:2009-11-26
    • 文件大小:861184
    • 提供者:dianzihua061
  1. 单片机制作的6位数字钟

  2. 单片机制作单片机制作的6位数字钟的6位数字单片机制作的6位数字钟钟单片机制作的6位数字钟
  3. 所属分类:硬件开发

    • 发布日期:2009-11-30
    • 文件大小:8192
    • 提供者:czy709191004
  1. 数字钟课程设计(数电课程设计)

  2. 数字钟是典型的数电课程设计内容,这里有完整的设计指导,以及设计所需元器件
  3. 所属分类:专业指导

    • 发布日期:2009-12-02
    • 文件大小:70656
    • 提供者:vinvianhu
  1. 单片机数字钟源程序(DS1302)

  2. 这个是数码管显示的DS1302数字钟源程序 希望可以交流交流 **************** 其实这个,把按键扫描加到定时器 中断服务程序里面会更好一些 **************** emo-boy@163.com
  3. 所属分类:硬件开发

    • 发布日期:2009-12-07
    • 文件大小:40960
    • 提供者:findmu
  1. 基于51单片机LED数字钟

  2. 基于51单片机LED数字钟 有程序 模拟已经成功
  3. 所属分类:硬件开发

    • 发布日期:2009-12-09
    • 文件大小:75776
    • 提供者:yyxxcc062002
  1. 纯硬件数字钟的Protus仿真

  2. 纯硬件数字钟纯硬件数字钟纯硬件数字钟纯硬件数字钟纯硬件数字钟
  3. 所属分类:嵌入式

    • 发布日期:2009-12-12
    • 文件大小:43008
    • 提供者:csc000csc
  1. 数电课程设计 数字钟

  2. 数电课程设计 数字钟 数电课程设计 数字钟 数电课程设计 数字钟 数电课程设计 数字钟
  3. 所属分类:专业指导

    • 发布日期:2009-12-12
    • 文件大小:356352
    • 提供者:lujunlin17
  1. EDA数字钟课程设计

  2. EDA数字钟课程设计EDA数字钟课程设计EDA数字钟课程设计
  3. 所属分类:专业指导

    • 发布日期:2009-12-14
    • 文件大小:1048576
    • 提供者:EDAKCSJ
  1. ARM嵌入式LPC2131开发数字钟

  2. 用芯片LPC2131开发数字钟,可实现proteus和keil连调。数字钟可以调整分,时。分不同模式显示。
  3. 所属分类:硬件开发

    • 发布日期:2009-12-17
    • 文件大小:102400
    • 提供者:xiongbin93
  1. 数字钟 数电课程设计 数字钟 电子钟 源代码 EDA VHDL

  2. 数电课程设计 vhdl语言写的电子钟, 功能: 1 时,分,秒六位数字十进制显示数字钟(小时从00--24) 2 手动校时,校分功能 3 闹钟功能,能在设定的时间发出闹铃声,持续一分钟 4 能进行整点报时,从59分50秒开始,每两秒发出一次铃音
  3. 所属分类:专业指导

    • 发布日期:2009-12-18
    • 文件大小:5120
    • 提供者:ytx0628
  1. 1T51单片机实现精确数字钟 因为普通单片机内核是12T 这里采用1T51内核的使得定时误差变得极小,同时加入补偿函数可以说定时已经达到理想精度

  2. 1T51单片机实现精确数字钟 因为普通单片机内核是12T 这里采用1T51内核的使得定时误差变得极小,同时加入补偿函数可以说定时已经达到理想精度
  3. 所属分类:硬件开发

    • 发布日期:2009-12-20
    • 文件大小:140288
    • 提供者:yushuihualaopo
  1. 数字逻辑 课程设计 多功能数字钟

  2. 1.1 设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟,而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法,且由于数字钟包括组合逻辑电路和时叙电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的
  3. 所属分类:专业指导

    • 发布日期:2009-12-20
    • 文件大小:816128
    • 提供者:qq784954642
  1. 单片机 数字钟 C语言 汇编语言 电路

  2. 单片机课程实验 关于数字钟 C语言 wrod 文档
  3. 所属分类:C

  1. 基于VHDL 语言的数字钟设计

  2. VHDL语言基于VHDL 语言的数字钟设计!(zhuanziyuan)
  3. 所属分类:专业指导

    • 发布日期:2009-12-22
    • 文件大小:107520
    • 提供者:yao1157045687
  1. eda课程设计\数字钟

  2. eda课程设计 数字钟 基于vhdl语言
  3. 所属分类:专业指导

    • 发布日期:2009-12-25
    • 文件大小:20480
    • 提供者:skywuoo
« 1 2 3 4 56 7 8 9 10 ... 50 »