您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Coo1Runner-Ⅱ器件实现SPI接收移位寄存器

  2. 由于SCK时钟的相位和极性在不同系统中的配置不尽相同,所以在本设计中通过合理设置CPHA、CPOL和RCV_CPOL的值,达到正确接收数据的目的。   如图所示,两个输入寄存器被用来采样MISO。一个在SCK上升沿采样,另一个在SCK下降沿采样。这两个寄存器的输出连接到一个多路器,多路器由RCV_CPOL控制。多路器的输出连接到SPI接收移位寄存器,接收移位寄存器由外部SCK的上升沿钟控。   如图 SPI接收移位寄存器和MIMO输入寄存器  由SCK钟控的计数器用来对输入到移位寄存器的数
  3. 所属分类:其它

    • 发布日期:2020-11-17
    • 文件大小:67584
    • 提供者:weixin_38738983
  1. Coo1Runner-Ⅱ器件实现SPI发送移位寄存器

  2. SPI发送移位寄存器是一个8位可装载移位寄存器,其数据从SPITR中装入。该寄存器由SCK INT时钟控制,数据移位输出到M0SI,如图所示。   如图 SPI发送移位寄存器    来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-17
    • 文件大小:55296
    • 提供者:weixin_38732740
  1. EDA/PLD中的LabVIEW的移位寄存器

  2. 在循环结构中经常用到一种数据处理方式,即把第i次循环执行的结果作为第i+1次循环的输入,LabVIEW循环结构中的移位寄存器可以实现这种功能。在循环结构框左侧或右侧边框单击鼠标右键,在弹出的快捷菜单中选择“添加移位寄存器”,添加移位寄存器,如图1所示。   图2和图3分别显示为For循环结构和While循环结构添加移位寄存器后的结果移位寄存器在循环结构框的左右两侧是成对出现的,一个寄存器右侧的端子只能有一个元素,而左侧的端子可以有多个元素。移位寄存器的颜色和输入数据类型的系统颜色相同,在数据为
  3. 所属分类:其它

    • 发布日期:2020-11-17
    • 文件大小:210944
    • 提供者:weixin_38605590
  1. EDA/PLD中的EDA典型单元电路的移位寄存器

  2. 移位寄存器除了具有存储代码的功能以外,还具有移位功能。所谓移位功能,是指寄存器里存储的代码能在移位脉冲的作用下依次左移或右移。因此,移位寄存器不但可以用来寄存代码,还可用来实现数据的串并转换、数值的运算以及数据处理等。   【例】 用VHDL语言设计一个8位的移位寄存器,使其具有左移一位或右移一位、并行输入和同步复位的功能,并使用MAX+p1us Ⅱ进行仿真。   仿真结果如图所示。   如图 移位寄存器SHIFT_REG的仿真图    来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:181248
    • 提供者:weixin_38502183
  1. 单片机与DSP中的滤波器移位寄存器状态

  2. 解 从状态判决的冲激响应向量出发,可利用式 1的部分和逼近来估计‖h[k]‖1,的值。图1显示了‖h[k]‖1值递增的过程。由于所有的直接Ⅱ型状态判决冲激响应具有相同的形状,仅在延时上有区别,因此它们的J,范数均相同。利用由部分和逼近法计算得出的‖h[k]‖1可知,最坏情况增益约为G1=2.25。这表明滤波器移位寄存器需要的额外字长余量为log2(2.25)~1.17bit。1.17bit很接近1bit,这时一般推荐使用1bit的余量。   图1  在x1[k]移位寄存器处测得的直接II型
  3. 所属分类:其它

    • 发布日期:2020-11-15
    • 文件大小:150528
    • 提供者:weixin_38665122
  1. 一种高性能32位移位寄存器单元的设计

  2. 1引言 随着CPU设计位数与性能的不断提高,对CPU 执行单元中专用硬件移位寄存器的要求也越来越高。CPU移位寄存器的性能直接影响到所设计CPU 对移位类指令的处理能力和执行速度。传统结构的CPU中,移位寄存器的设计一般采用矩阵结构和树状结构。当CPU的位数达到32位,速度达到100M以上时,要在一个指令周期内对32位的数据进行32 位内任意移位,以前的设计方法已经很难达到要求。曾经有过对32位桶形移位寄存器的行为级描述 [1],但其只适用于RISC指令集,并且作为CPU中的专用硬件为了达到
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:230400
    • 提供者:weixin_38539705
  1. 一种基于移位寄存器的CAM的Verilog HDL实现

  2. CAM(Content Addressable Memory,内容可寻址存储器)是一种特殊的存储阵列。它通过将输入数据与CAM中存储的所有数据项同时进行比较,迅速判断出输入数据是否与CAM中的存储数据项相匹配,并给出匹配数据项的对应地址和匹配信息。CAM以其高速查找、大容量等特点而被广泛地应用于电讯、网络等领域。   本文介绍一种用Verilog HDL设计CAM的方案。该方案以移位寄存器为核心,具有可重新置改变字长、易于扩展、匹配查找速度快等特点。   1 CAM功能描述   CAM的
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:80896
    • 提供者:weixin_38651365
  1. 二元给定序列非线性移位寄存器的综合与产生

  2. 摘要:依据非线性移位寄存器的原理,文中讨论二元给定序列非线性反馈移位寄存器的综合算法,用C语言编程,找到了产生该序列的非线性移位寄存器。借助EDA技术,以FPGA为硬件基础,经过设计优化构成定长序列和给定周期序列的伪随机序列发生器,并进行了仿直实验,用硬件实验证实了设计的合理性。 关键词:非线性伪随机序列 非线性移位寄存器 现场可编程门阵列 1 引言 伪随机序列具有良好的随机性,在伪码测距、导航、遥控和遥测、扩频通信、多址通信、分离多径、数据加乱、信号同步、误码测试、线性系统测量、天线方
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:69632
    • 提供者:weixin_38521831
  1. 非线性反馈移位寄存器串联分解唯一性探讨

  2. 非线性反馈移位寄存器串联分解唯一性探讨
  3. 所属分类:其它

    • 发布日期:2021-03-20
    • 文件大小:219136
    • 提供者:weixin_38663029
  1. 反馈移位寄存器的非奇异性

  2. 反馈移位寄存器的非奇异性
  3. 所属分类:其它

    • 发布日期:2021-03-12
    • 文件大小:618496
    • 提供者:weixin_38543950
  1. 由线性反馈移位寄存器构造完美的扩散层

  2. 最大距离可分离(MDS)矩阵广泛用于分组密码和哈希函数的扩散层中。 在Guo,Sajadieh和Wu等人从线性反馈移位寄存器(LFSR)递归构造完美扩散层的启发下,作者进一步研究了如何从Fibonacci和Galois体系结构的LFSR构造完美扩散层,并进行了系统分析用这两种结构构成的4 x 4字扩散层。 与已知结果相比,我们构造的MDS矩阵的优势在于它们的逆通常也是MDS矩阵,并且可以以相同的计算复杂度有效地实现。
  3. 所属分类:其它

    • 发布日期:2021-03-12
    • 文件大小:281600
    • 提供者:weixin_38713099
  1. LFSR:线性反馈移位寄存器-源码

  2. 流密码 该存储库包含我最流行的流密码的实现-线性反馈移位寄存器( )。
  3. 所属分类:其它

    • 发布日期:2021-03-11
    • 文件大小:2048
    • 提供者:weixin_42138716
  1. 具有诊断功能的 TLC6C5816-Q1 电源逻辑 16 位移位寄存器 LED 驱动器.pdf

  2. 具有诊断功能的 TLC6C5816-Q1 电源逻辑 16 位移位寄存器 LED 驱动器.pdf
  3. 所属分类:电子政务

    • 发布日期:2021-03-07
    • 文件大小:829440
    • 提供者:zdfwyh
  1. 移位寄存器及其应用实验报告.docx

  2. 移位寄存器及其应用
  3. 所属分类:讲义

    • 发布日期:2021-02-25
    • 文件大小:58368
    • 提供者:weixin_43062078
  1. 基于全光移位寄存器的光加密和解密

  2. 基于全光移位寄存器的光加密和解密
  3. 所属分类:其它

    • 发布日期:2021-02-25
    • 文件大小:463872
    • 提供者:weixin_38681301
  1. iot-pattern-flasher:基于RPI 4的Azure IoT设备,基于IoT模式消息来控制移位寄存器LED-源码

  2. 物联网模式闪光器 基于RPI 4的Azure IoT设备基于IoT模式消息控制移位寄存器LED。
  3. 所属分类:其它

    • 发布日期:2021-02-20
    • 文件大小:141557760
    • 提供者:weixin_42169971
  1. paelzer-PWM-风扇控制通过温度传感器-KTY81-110-带显示屏:通过连接到Arduino Nano的KTY81-110热敏电阻进行PWM风扇控制。 温度输出到通过74HC595移位寄存器控制的4位7段显示器(A-574E)-源

  2. Paelzer-PWM-风扇通过温度传感器控制KTY81-110,带有显示屏 通过连接到Arduino Nano的KTY81-110热敏电阻进行PWM风扇控制。 温度输出到通过74HC595移位寄存器控制的4位7段显示器(A-574E)。
  3. 所属分类:其它

    • 发布日期:2021-02-15
    • 文件大小:2097152
    • 提供者:weixin_42116650
  1. 一种基于移位寄存器的CAM的Verilog HDL实现

  2. 摘要:一种利用VerilogHDL设计CAM的方案,该方案以移位寄存器为核心,所实现的CAN具有可重新配置改变字长、易于扩展、匹配查找速度等特点,并在网络协处理器仿真中得到了应用。 CAM(ContentAddressableMemory,内容可寻址存储器)是一种特殊的存储阵列。它通过将输入数据与CAM中存储的所有数据项同时进行比较,迅速判断出输入数据是否与CAM中的存储数据项相匹配,并给出匹配数据项的对应地址和匹配信息。CAM以其高速查找、大容量等特点而被广泛地应用于电讯、网络等领域。本文介绍
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:144384
    • 提供者:weixin_38689551
  1. 一种基于移位寄存器的CAM的Verilog HDL实现

  2. 摘要:一种利用VerilogHDL设计CAM的方案,该方案以移位寄存器为核心,所实现的CAM具有可重新配置改变字长、易于扩展、匹配查找速度等特点,并在网络协处理器仿真中得到了应用。关键词:CAM移位寄存器VerilogHDLCAM(ContentAddressableMemory,内容可寻址存储器)是一种特殊的存储阵列。它通过将输入数据与CAM中存储的所有数据项同时进行比较,迅速判断出输入数据是否与CAM中的存储数据项相匹配,并给出匹配数据项的对应地址和匹配信息。CAM以其高速查找、大容量等特点
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:147456
    • 提供者:weixin_38670186
  1. 产生多相位正弦波的移位寄存器和电阻器

  2. 固定相位关系的正弦波可应用于通信设备、仪器和电源中。虽然可以用多种传统的模拟技术产生基本的正弦波信号,但本设计实例提供了一种简单的方法,它只使用数字逻辑和固定值电阻器(图1a)。一个公共的时钟脉冲驱动一对CD40154位移位寄存器的3/4,寄存器不断循环一个由12个0和12个1组成的样式,即000000000000111111111111。每个寄存器的输出都驱动一个电阻器,即R1~R12,这些电阻器连接到一个汇总结点。如果所有电阻器都是等值的,则汇总的输出将包含一个阶梯状线性三角波,其重复频率是
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:95232
    • 提供者:weixin_38657465
« 1 2 3 45 6 7 8 9 10 ... 50 »