您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. labview平均值显示图表,学习移位寄存器

  2. labview平均值显示图表,学习移位寄存器,可以作为labview初学者学习。
  3. 所属分类:其它

    • 发布日期:2011-08-25
    • 文件大小:10240
    • 提供者:duwensheng
  1. C51单片机寄存器功能

  2. C51单片机寄存器功能.doc 8051单片机的引脚图 特殊功能寄存器 SCON 串行口控制寄存器 D7 D6 D5 D4 D3 D2 D1 D0 字节地址 SM0 SM1 SM2 REN TB8 RB8 TI RI 98H (1)SM0,SM1:串行口工作方式选择位。各位的状态对应的方式功能如下 SM0 SM1 方式 功能说明 0 0 0 同步移位寄存器方式(用于扩展I/O口) 0 1 1 8位异步收发,波特率可变(由定时器控制) 1 0 2 9位异步收发,波特率位Fosc/64或Fosc/
  3. 所属分类:C/C++

    • 发布日期:2013-06-20
    • 文件大小:167936
    • 提供者:zgd_88
  1. 四位移位寄存器的仿真和设计

  2. 详细的论述了四位CMOS移位寄存器的设计,仿真和测试过程。此电路是在给定的1.25 μm的CMOS工艺模型下,通过使用Tanner13软件设计而成
  3. 所属分类:C/C++

    • 发布日期:2013-06-28
    • 文件大小:280576
    • 提供者:starcoy
  1. 带并行置位的移位寄存器设计

  2. 要求实现 带进位的循环左移 循环左移 带进位的循环右移 循环右移,采用系统50MHz,用分频分至1Hz,并用一个输入实现控制寄存方式。
  3. 所属分类:硬件开发

    • 发布日期:2013-12-04
    • 文件大小:17408
    • 提供者:u013038916
  1. 对移位寄存器,计数器,全加器,译码器的verilog实例

  2. 对移位寄存器,计数器,全加器,译码器的verilog实例
  3. 所属分类:专业指导

    • 发布日期:2009-04-14
    • 文件大小:792576
    • 提供者:wjzgc_007
  1. 四位循环移位寄存器代码

  2. 四位循环移位寄存器代码!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
  3. 所属分类:硬件开发

    • 发布日期:2018-04-23
    • 文件大小:1024
    • 提供者:q_q_qqqqq
  1. ARM I2C总线接口的寄存器设置

  2. 控制ARM12C总线接口需要配置总线控制寄存器(rIICCON)、总线状态寄存器(rIICSTAT)、总线发送接收移位寄存器(rIICDS)和总线地址寄存(rIICADD)这4个寄存器。总线控制寄存器通常在程序开始时配置,包括应答信号和接收
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:22528
    • 提供者:weixin_38668754
  1. 寄存器分类

  2. 寄存器主要分并行寄存器和移位寄存器两种。并行寄存器是并行输入并行输出寄存器。移位寄存器除具寄存器的功能外,所存储的数码在时钟脉冲的作用下还可以移位。根据数码的移位方向分为左移寄存器和右移寄存器。左移寄存器是指在时钟脉冲的作用下,低位寄存器的数码送给高位寄存器,作为高位寄存器的次态输出;右移寄存器是指在时钟脉冲的作用下,高位寄存器的数码送给低位寄存器,作为低位寄存器的次态输出。  欢迎转载,信息来源维库电子市场网(www.dzsc.com)  来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-13
    • 文件大小:19456
    • 提供者:weixin_38658405
  1. SPI串行数据寄存器(SPIDAT)

  2. SPIDAT是发送/接收移位寄存器。写入SPIDAT寄存器的数据在后续的SPICLK周期中(最高有效位)依次被移出。对于移出SPI的每一位(最高有效位),有一位移入到移位寄存器的最低位LSB。如图和表所示(地址:7049h)。   图 SPI数据寄存器(SPIDAT)   表 SPI数据寄存器功能定义  欢迎转载,信息来源维库电子市场网(www.dzsc.com)  来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-12
    • 文件大小:84992
    • 提供者:weixin_38661466
  1. EDA/PLD中的CoolRunner-II器件的使用双沿触发寄存器

  2. 在CoolRunner-II器件中每个宏单元的触发器都具有双沿触发(DET)的功能,这个特性可以进一步提高器件的资源利用率和可靠性,有效地降低器件的功耗。因为寄存器采用双沿触发后可以使器件的时钟信号频率降低一半,从而带来功耗的降低和可靠性的提高。双沿触发寄存器可用于高性能移位寄存器、计数器、比较器及状态机等,设计工具可以自动地推论在ABEL、HDL语言及Schematic设计中的DET触发器。 例1:基于VHDL语言的设计。           process  (clock)        
  3. 所属分类:其它

    • 发布日期:2020-11-17
    • 文件大小:28672
    • 提供者:weixin_38704922
  1. Coo1Runner-Ⅱ器件实现SPI发送数据寄存器(SPITR)

  2. 待发送到MOSI的8位数据存储在此寄存器中,一旦SPITR的数据被装入SPI发送移位寄存器,XMIT_EMPTY信号将变为有效。这时,8051可以将下一个待发的数据装入SPITR。    来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-17
    • 文件大小:24576
    • 提供者:weixin_38732252
  1. Coo1Runner-Ⅱ器件实现发送寄存器空和接收寄存器满标志

  2. (1)发送寄存器空(XMIT_EMPTY)标志位:SPITR中数据被装入发送移位寄存器时此标志被置位,此信号由内部SCK信号钟控。在8051写数据到SPITR或系统复位信号有效时,此标志位被复位。   (2)接收寄存器满标志位(RCV R凡L):当数据从接收移位寄存器装入SPIRR中时此标志被置位,此信号由系统时钟钟控。当8051从SPIRR读数据时,此标志位被复位。    来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-17
    • 文件大小:26624
    • 提供者:weixin_38741195
  1. EDA/PLD中的EDA典型单元电路的寄存器的设计

  2. 寄存(锁存)器是一种重要的数字电路部件,常用来暂时存放指令、参与运算的数据或运算结果等。它是数字测量和数字控制中常用的部件,是计算机的主要部件之一。寄存器的主要组成部分是具有记忆功能的双稳态触发器。一个触发器可以储存1位二进制代码,要储存N位二进制代码,就得有N个触发器。寄存器从功能上说,通常可分为数码寄存器和移位寄存器两种。   数码寄存器用于寄存一组二进制代码,广泛用于各类数字系统。   【例】 设计-个8位寄存器的VHDL程序,并使用MAX+p1us Ⅱ进行仿真。   仿真结
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:143360
    • 提供者:weixin_38700779
  1. ShiftRegister74HC595:Arduino库,简化了移位寄存器的使用-源码

  2. ShiftRegister74HC595:Arduino库,简化了移位寄存器的使用
  3. 所属分类:其它

    • 发布日期:2021-02-02
    • 文件大小:6144
    • 提供者:weixin_42138788
  1. 基于Multisim的移位寄存器型彩灯控制电路设计与仿真

  2. 介绍了以 Multisim仿真软件为平台设计移位寄存器型彩灯控制电路,对电路的设计原理、构成方法做了详细的介绍,使用虚拟仪器、虚拟元件完成单元电路及总体电路系统的设计与仿真。所述方法的创新点是电路的Multisim仿真与硬件设计互补,将电子电路的硬件设计方式向多元化方式转移,利于培养知识综合、知识应用、知识迁移的能力,提高了电路设计效率。
  3. 所属分类:其它

    • 发布日期:2021-01-31
    • 文件大小:698368
    • 提供者:weixin_38666300
  1. 线性变换移位寄存器序列

  2. 摘 要:线性变换移位寄存器由Tsaban和Vishne提出,是一个面向字的移位寄存器,每次输出一个字节。研究了由TSR所生成的序列的基本性质,并且给出了一个新的准则来判定一个线性变换移位寄存器系统的特征多项式是否不可约。利用这个准则,不需要在扩域上做运算来判定一个线性变换移位寄存器系统的特征多项式是否不可约。
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:1048576
    • 提供者:weixin_38609720
  1. 移位寄存器的原理

  2. 移位寄存器的原理   移位寄存器不仅能寄存数据,而且在时钟信号的用下使它其中的数据依次左移或者右移。   四位移位寄存器的原理:F0、F1、F2、F3是四个边沿触发的触发器D,每一个触发器的输出端Q接到右边一个触发器的输入端D。因为从时钟的信号CP的上升沿加到触发器上开始到输出端新状态稳定地建立起来有一段延迟的时间,所以当时钟信号同时加到四个触发器上的时候,每个触发器接受的都是左边一个触发器中原来的而数据(F0接收的输入数据D1)。寄存器中的数据依次右移一位。   移位寄存器按照不同的分类
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:277504
    • 提供者:weixin_38637805
  1. 移位寄存器串入并出与并入串出

  2. 移位寄存器串入并出与并入串出   在数字电路中,移位寄存器(英语:shift register)是一种在若干相同时间脉冲下工作的触发器为基础的器件,数据以并行或串行的方式输入到该器件中,然后每个时间脉冲依次向左或右移动一个比特,在输出端进行输出。这种移位寄存器是一维的,事实上还有多维的移位寄存器,即输入、输出的数据本身就是一些列位。实现这种多维移位寄存器的方法可以是将几个具有相同位数的移位寄存器并联起来。   移位寄存器的输入、输出都可以是并行或串行的。它们经常被配置成串入并出(serial
  3. 所属分类:其它

    • 发布日期:2021-01-20
    • 文件大小:176128
    • 提供者:weixin_38632916
  1. LabVIEW的移位寄存器

  2. 在循环结构中经常用到一种数据处理方式,即把第i次循环执行的结果作为第i+1次循环的输入,LabVIEW循环结构中的移位寄存器可以实现这种功能。在循环结构框左侧或右侧边框单击鼠标右键,在弹出的快捷菜单中选择“添加移位寄存器”,添加移位寄存器,如图1所示。   图2和图3分别显示为For循环结构和While循环结构添加移位寄存器后的结果移位寄存器在循环结构框的左右两侧是成对出现的,一个寄存器右侧的端子只能有一个元素,而左侧的端子可以有多个元素。移位寄存器的颜色和输入数据类型的系统颜色相同,在数据为
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:257024
    • 提供者:weixin_38500709
  1. EDA典型单元电路的移位寄存器

  2. 移位寄存器除了具有存储代码的功能以外,还具有移位功能。所谓移位功能,是指寄存器里存储的代码能在移位脉冲的作用下依次左移或右移。因此,移位寄存器不但可以用来寄存代码,还可用来实现数据的串并转换、数值的运算以及数据处理等。   【例】 用VHDL语言设计一个8位的移位寄存器,使其具有左移一位或右移一位、并行输入和同步复位的功能,并使用MAX+p1us Ⅱ进行仿真。   仿真结果如图所示。   如图 移位寄存器SHIFT_REG的仿真图    :
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:239616
    • 提供者:weixin_38620267
« 1 2 3 4 56 7 8 9 10 ... 50 »