您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL实现的8位综合移位器

  2. 移位寄存器,该移位器的VHDL代码已是相当精简优化,可读性很强的多功能实现,可以根据输入信号控制!
  3. 所属分类:专业指导

    • 发布日期:2009-05-20
    • 文件大小:225280
    • 提供者:zhukites
  1. verilog移位寄存器的实现

  2. 这个文件详细的描述了一位寄存器的实现过程还有testbench,主要是以word的形式存放的
  3. 所属分类:专业指导

  1. 移位时序控制器研究与设计

  2. 移位时序控制器是高级在轨系统帧同步发送器设计的一部分,通过分析移位时序控制器的特点及其在传输过程中所遇到的各种问题,设计了基于格雷码计数器的移位时序控制器。其硬件电路部分由格雷码计数器、3-8译码器和D触发器构成;软件设计采用Verilog HDL语言,并在Altera Quartus II 综合开发平台上给出了其仿真结果。通过仿真效果图可以看出本文设计的移位时序控制器克服了传统的采用二进制计数器的易出错和输出产生毛刺的问题,得到了很好的输出结果。
  3. 所属分类:硬件开发

    • 发布日期:2011-09-12
    • 文件大小:315392
    • 提供者:ertao2008
  1. 十五位电子密码器设计(数电课程设计)

  2. 十五位电子密码器,包括双向移位寄存器,计数器,锁存器,触发器等芯片
  3. 所属分类:嵌入式

    • 发布日期:2013-01-19
    • 文件大小:309248
    • 提供者:longyaojiutian
  1. 单片机彩灯控制实验(移位寄存器,键盘,数码管)

  2. 利用键盘和移动寄存器控制彩灯,同时用数码管显示。单片机课程设计。
  3. 所属分类:嵌入式

    • 发布日期:2013-12-02
    • 文件大小:5120
    • 提供者:u013018540
  1. Verilog HDL 写的32位锁存寄存器

  2. 32位带锁存移位寄存器,小程序,希望对大家有用;
  3. 所属分类:硬件开发

    • 发布日期:2008-12-18
    • 文件大小:897
    • 提供者:chinaonsh
  1. 基于FPGA的移位寄存器流水线结构FFT处理器设计与实现

  2. 快速傅里叶变换(FFT)在雷达、通信和电子对抗等领域有广泛应用。近年来现场可编程门阵列(FPGA)的飞速发展,与DSP技术相比,由于其并行信号处理结构,使得FPGA能够很好地适用于高速信号处理系统。由于Altera等公司研制的FFT IP核,价钱昂贵,不适合大规模应用,在特定领域中,设计适合于自己领域需要的FFT处理器是较为实际的选择。
  3. 所属分类:其它

    • 发布日期:2020-10-23
    • 文件大小:480256
    • 提供者:weixin_38737144
  1. 基于FPGA的移位寄存器流水线结构FFT处理器的实现

  2. 0引言快速傅里叶变换(FFT)在雷达、通信和电子对抗等领域有广泛应用。近年来现场可编程门阵列(FPGA)的飞速发展,与DSP技术相比,由于其并行信号处理结构,使得FPGA能够很好地适用于
  3. 所属分类:其它

    • 发布日期:2020-10-18
    • 文件大小:1048576
    • 提供者:weixin_38622849
  1. AAC音频解码中位数可选且自动加载移位寄存器设计

  2. 在对采样率为44.1kHz的AAC音频进行解码时,一帧的解码时间须控制在23.22毫秒内。且音频中每一帧可包含1"48个声道的数据,若遇时序要求最严格的场合,即一帧包含48个声道数据,实时性则很难满足,因此速度便成为硬件设计的重要指标。所以在系统设计时,为完成解码的实时性要求,各模块应以速度为优化目标。
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:163840
    • 提供者:weixin_38725531
  1. SCI接收器状态寄存器(SCIRXST)

  2. 接收器或发送器完成一个字符(长度由通信控制寄存器确定)传输后,中断逻辑将产生中断标志,中断逻辑可以为发送器或接收器提供方便高效的操作控制。接收中断标志是RXRDY(SCIRXST.6),发送中断标志是TXRDY(SCICTL2.7)。当一个字符传送到TXSHF并且SCITXBUF准备好发送下一个字符时,TXRDY置位。当SCITXBUF和TXSHF为空时,TXEMPTY(SCICTL2.6)置位。   当接收到新的字符并移位到接收缓冲SCIRXBUF中时,RXRDY H位。此外如果有中止条件产
  3. 所属分类:其它

    • 发布日期:2020-11-12
    • 文件大小:218112
    • 提供者:weixin_38526650
  1. vivado关于1101011的同步时钟状态机、移位寄存器的序列检测器的设计

  2. 数电设计作业
  3. 所属分类:其它

    • 发布日期:2020-12-24
    • 文件大小:783360
    • 提供者:weixin_48666078
  1. double-dabble:实现Double dabble或shift和add-3算法,以将二进制数转换为Binary-coded Decimal。 使用反向双星号将输出的二进制编码的十进制转换为二进制数。 寄存器编号,移位流程和执行速度应

  2. 双重涉水 实现Double dabble或shift和add-3算法以将二进制数转换为二进制编码的十进制。 使用反向双星号将输出的二进制编码的十进制转换为二进制数。 寄存器编号,移位流程和执行速度应使用5个样本进行测试SHIFT AND ADD 3算法步骤:1将二进制数左移一位STEP:2如果取了8位,则BCD数为数百,十和单位列STEP:3,如果任何BCD列中的二进制值是5或更大,则在该BCD列STEP:4中将该值加3。转到1
  3. 所属分类:其它

    • 发布日期:2021-02-18
    • 文件大小:680960
    • 提供者:weixin_42098251
  1. 西门子plc移位寄存器指令

  2. 移位寄存器指令SHRB是将DATA数值移入移位寄存器。S_BIT指定移位寄存器的位。N指定移位寄存器的长度和移位方向(移位加 = N,移位减 = -N)。移位寄存器的长度是64位的,可以正也可以负。我们要注意的是SHRB指令移出的每个位是被放置在溢出内存位(SM1.1)中的。  下面便以以下的程序来讲解指令的使用,用I0.2的上升沿来执行移位寄存器指令,那么就是一个扫描周期移一位的,指令中V100.0是移位寄存器的位,I0.3里面存的是0或1的数值,指令指定是移位加的,移位寄存器的长度是4。我们
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:193536
    • 提供者:weixin_38512781
  1. 移位寄存器教程-项目开发

  2. 指导如何使用移位寄存器控制7段显示以及以菊花链方式将其创建多位显示
  3. 所属分类:其它

    • 发布日期:2021-03-31
    • 文件大小:904192
    • 提供者:weixin_38732454
  1. 7段LED显示器102-使用移位寄存器-项目开发

  2. 驱动一个数字7段LED显示器需要Arduino的20个左右I / O引脚中的8个。 使用74HC595移位寄存器仅需要3。
  3. 所属分类:其它

    • 发布日期:2021-03-30
    • 文件大小:135168
    • 提供者:weixin_38658471
  1. 带移位寄存器的BL和对比度的4线LCD控制-项目开发

  2. 使用移位寄存器控制16x2 LCD,包括代码中的对比度和背光。 包括具有淡入淡出和背光功能的库。
  3. 所属分类:其它

    • 发布日期:2021-03-30
    • 文件大小:217088
    • 提供者:weixin_38537684
  1. 使用移位寄存器-项目开发

  2. 该项目演示了如何使用移位寄存器。
  3. 所属分类:其它

    • 发布日期:2021-03-30
    • 文件大小:136192
    • 提供者:weixin_38679651
  1. 具有Arduino,RTC和移位寄存器的数字时钟74HC595

  2. 带有Arduino的数字时钟,使用移位寄存器(74HC595),实时时钟,温度和湿度传感器以及7段显示。
  3. 所属分类:其它

    • 发布日期:2021-03-30
    • 文件大小:1048576
    • 提供者:weixin_38603259
  1. 一些非线性反馈移位寄存器的周期结构

  2. 一些非线性反馈移位寄存器的周期结构
  3. 所属分类:其它

    • 发布日期:2021-03-24
    • 文件大小:742400
    • 提供者:weixin_38709379
  1. FastEsp8266ShiftRegister:ESP8266控制器的移位寄存器库-源码

  2. FastEsp8266ShiftRegister ESP8266控制器的移位寄存器库
  3. 所属分类:其它

    • 发布日期:2021-03-21
    • 文件大小:3072
    • 提供者:weixin_42118160
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »