您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 五人多数表决器(EDA课程设计)

  2. 1) 五人多数表决逻辑:多数通过; 2) 在主持人控制下,10秒内表决有效; 3) 采用数码管显示表决10秒倒计时; 4) 表决结束后用发光二极管及数码管显示表决结果,数码管显示结果形式:通过,不通过; 5) 设主持人控制键,复位键: 控制键:启动表决; 复位键:系统复位。
  3. 所属分类:专业指导

    • 发布日期:2010-06-30
    • 文件大小:164864
    • 提供者:a258016
  1. 三人表决器VHDL 拨码开关

  2. 三人表决器,同意为1,不同意为0,同意过半表决通过,LED亮,表决不通过,LED灭。
  3. 所属分类:其它

    • 发布日期:2010-09-08
    • 文件大小:341
    • 提供者:q001306
  1. 7人抢答器与4人表决器

  2. 这是关于verilog语言的两个程序代码,比较简单
  3. 所属分类:专业指导

    • 发布日期:2010-09-09
    • 文件大小:62464
    • 提供者:feitianjiazi
  1. eda的七人表决器通过亮灯

  2. 底层文件和顶层原理图都有,需要自己根据原理图gdf组装下,注意扫描信号的输出端可能由于是先前的失误,忘记添加了,自己填个output即可。
  3. 所属分类:专业指导

    • 发布日期:2010-11-07
    • 文件大小:3072
    • 提供者:lkyangzheng
  1. 基于单片机的八路抢答器+七路多数表决器(全套)

  2. 其他资料可以加我QQ84195043找我要
  3. 所属分类:硬件开发

    • 发布日期:2010-11-29
    • 文件大小:1048576
    • 提供者:deepblue1234567
  1. 三人表决器VHDL程序

  2. 使用VHDL编写的一个三人表决器,比较详细,敬请使用
  3. 所属分类:C/C++

    • 发布日期:2011-05-06
    • 文件大小:15360
    • 提供者:gaohua1111
  1. 数字逻辑课程设计(数字钟 三人表决器 “101”序列检测器)

  2. 本人设计一个数字时钟,主要用来实现00~59的秒、分六十进制计数器, 00~23的小时二十四进制计数器,整点报时,置数,清零以及数码管显示等功能。 本人设计一个运算单元,主要用来实现三人多数表决,当三个人中通过的人数比不通过的人数多时,则通过,反之,你不通过。 本人设计一个状态机,主要用来检测所输入的序列中是否有“101”序列,设置不同的状态,输入不同的信号,从而得出次态和输出。
  3. 所属分类:嵌入式

    • 发布日期:2011-07-31
    • 文件大小:217088
    • 提供者:petters
  1. 五人表决器(VHDL)

  2. 用VHDL编写的五人表决器实验程序。三人以上同意通过,则结果为通过,否则不通过。
  3. 所属分类:硬件开发

    • 发布日期:2008-05-28
    • 文件大小:447488
    • 提供者:zhongglie
  1. 三人表决器

  2. 组合逻辑电路的分析方法 a) 给定逻辑电路→输出逻辑函数式 一般从输入端向输出端逐级写出各个门输出对其输入的逻辑表达式,从而写出整个逻辑电路的输出对输入变量的逻辑函数式。必要时,可进行化简,求出最简输出逻辑函数式。 b) 列真值表 将输入变量的状态以自然二进制数顺序的各种取值组合代入输出逻辑函数式,求出相应的输出状态,并填入表中,即得真值表。 c) 分析逻辑功能 通常通过分析真值表的特点来说明电路的逻辑功能。
  3. 所属分类:其它

    • 发布日期:2011-11-27
    • 文件大小:4194304
    • 提供者:xhh7775808
  1. 数电VHDL代码

  2. 数电VHDL代码-七段数码管译码器-表决器-半加器-全加器-四位串型加法器,希望对大家有用,可以拿去参看参考!
  3. 所属分类:专业指导

    • 发布日期:2012-03-24
    • 文件大小:35840
    • 提供者:qubes
  1. 十人表决器

  2. 本课程设计主要利用PLC来完成一个十人投票机,能够对十人投票表决结果进行判断,并通过不同的LED数码管进行显示。该设计的硬件电路主要由三个部分组成:PLC模块、输入按钮开关及输出发光二级管。信号处理和转换由PLC模块来完成,其负责把按钮开关传送来的信号经一定的处理,并发出相应的输出信号。按钮开关进行输入,包括十个投票端、一个总开关和一个复位按钮。四个发光二极管包括一个电源显示和三个结果显示,不同结果对应亮不同发光二级管。
  3. 所属分类:专业指导

    • 发布日期:2012-08-02
    • 文件大小:472064
    • 提供者:zdq920293058
  1. Verilog编写的FPGA组合逻辑程序

  2. Verilog编写的FPGA组合逻辑程序:译码器 表决器 编码器 半加器 全加器等
  3. 所属分类:硬件开发

    • 发布日期:2012-12-02
    • 文件大小:532480
    • 提供者:l3690123
  1. 硬件描述语言与EDA技术实践

  2. EDA技术实践七人表决器报告完美版,课程设计
  3. 所属分类:C/C++

    • 发布日期:2013-12-07
    • 文件大小:638976
    • 提供者:u010944746
  1. VHDL 语言设计设计3人表决器

  2. VHDL语言设计3人表决器,就 好个共用一个uyguo 噢iiiyuiyuy哦
  3. 所属分类:专业指导

    • 发布日期:2008-10-12
    • 文件大小:1048576
    • 提供者:tloveb
  1. 单片机用AT89C51 实现7输入表决器

  2. 随着计算机技术的发展,单片机的应用领域也越来越广泛,尤其是在工业控制和仪器仪表智能化中扮演着极其重要的角色,单片机全称为“单片微型计算机”,从应用领域来看,单片机主要用于控制,所以又称为“微控制器”。在此次课程——七输入表诀器中,其原理就是由七个人来投票,当同意的票数大于或者等于4人时,则认为同意;反之,当否决的票数大于或者等于4人时,则认为不同意。实验中用7个拨挡开关来表示7个人,当对应的拨挡开关输入为‘0’时,表示此人同意;否则若拨挡开关输入为‘1’时,则表示此人反对。表决的结果用一个LE
  3. 所属分类:专业指导

    • 发布日期:2014-05-12
    • 文件大小:319488
    • 提供者:xiahb0
  1. 八人表决器

  2. 基于单片机的八人表决器,适合初学者,可用于实验,实训等
  3. 所属分类:硬件开发

    • 发布日期:2014-07-10
    • 文件大小:324608
    • 提供者:qq_17482239
  1. EDA实验七人表决器(代码+连线图)

  2. 7人表决器本设计就是利用EDA/SOPC-II+实验箱中的拨挡开关模块和LED模块来实现一个简单的七人表决器的功能。拨挡开关模块中的K1~K7表示七个人,当拨挡开关输入为‘1’时,表示对应的人投同意票,否则当拨挡开关输入为‘0’时,表示对应的人投反对票;LED模块中D1_1表示七人表决的结果,当同意数>3人的时候,D1_1点亮表示一致同意,同时使用试验台的大板数码管显示同意的人数,否则D1_1熄灭表示一致反对
  3. 所属分类:硬件开发

    • 发布日期:2014-11-19
    • 文件大小:499712
    • 提供者:u012357206
  1. 五人表决器vhdl程序代码

  2. 完整的VHDL语言的基本应用程序,涉及到设计思路和设计过程
  3. 所属分类:专业指导

    • 发布日期:2008-10-29
    • 文件大小:447488
    • 提供者:swkiller
  1. Proteus投票表决器的仿真

  2. Proteus投票表决器的仿真,附带51单片机.c源程序,附带课设说明文档,还有实现的录像.exe,非病毒
  3. 所属分类:其它

    • 发布日期:2015-01-17
    • 文件大小:461824
    • 提供者:u010753139
  1. 七人表决器

  2. 利用EDA/SOPC-II+实验箱中的拨挡开关模块和LED模块来实现一个简单的七人表决器的功能。拨挡开关模块中的K1~K7表示七个人,当拨挡开关输入为‘1’时,表示对应的人投同意票,否则当拨挡开关输入为‘0’时,表示对应的人投反对票;LED模块中D1_1表示七人表决的结果,当同意数>3人的时候,D1_1点亮表示一致同意,同时使用试验台的大板的数码管显示P表示通过,小板的数码管显示同意的人数,否则D1_1熄灭表示一致反对。
  3. 所属分类:专业指导

    • 发布日期:2015-06-15
    • 文件大小:499712
    • 提供者:sinat_16882197
« 1 2 3 45 6 7 8 »