您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 数字逻辑实验

  2. 投票表决器的设计,四位全加器的设计,列出其对应的真值表,并画出其仿真图像。
  3. 所属分类:Sybase

    • 发布日期:2012-05-24
    • 文件大小:117760
    • 提供者:xsyuliuyan
  1. FPGA入门文档

  2. FPGA入门文档通过本项目,利用VHDL语言完成一个基于FPGA的三人表决器设计, 并下载到FPGA中进行验证,达到以下要求 1 掌握EDA技术基本概念 2 熟悉基于FPGA的EDA设计流程。 3 了解CPLD/FPGA的内部结构 4 了解QuartusII 软件的基本使用方法 5 了解VHDL语言的基本结构
  3. 所属分类:硬件开发

    • 发布日期:2012-05-30
    • 文件大小:926720
    • 提供者:xinghai5000
  1. VHDL课程设计

  2. 选题一 五人多数表决器 五人多数表决逻辑:多数通过;在主持人控制下,10秒内表决有效;用数码管显示表决10秒倒计时;表决结束后用发光二极管及数码管显示表决结果,数码管显示结果形式:通过,不通过;设主持人控制键,复位键:控制键:启动表决
  3. 所属分类:电信

    • 发布日期:2012-06-26
    • 文件大小:700416
    • 提供者:t279470720
  1. VHDL实验代码示例

  2. 四输入表决器 2位二进制相乘电路 一位二进制全减器
  3. 所属分类:硬件开发

    • 发布日期:2012-11-22
    • 文件大小:319488
    • 提供者:shiroudeniu
  1. 三人表决器设计

  2. 设计一个A、B、C三人表决电路。当表决某个提案时,多数人同意,提案通过,同时A具有否决权
  3. 所属分类:C

    • 发布日期:2013-01-12
    • 文件大小:1048576
    • 提供者:qq839988927
  1. cpld硬件开发

  2. cpld FPGA四人表决器 模10计数器 选择计时器
  3. 所属分类:硬件开发

    • 发布日期:2013-05-08
    • 文件大小:1048576
    • 提供者:xingkonglz
  1. vhdl上机实验

  2. 硬件描述语言(vhdl)上机相关实验,包括五个必须完成的实验,包含全部代码和实验波形文件
  3. 所属分类:嵌入式

    • 发布日期:2013-10-26
    • 文件大小:406528
    • 提供者:liuweijie1993
  1. 无线表决系统的设计

  2. 一个关于无线表决系统的设计的毕业设计的毕业论文
  3. 所属分类:C/C++

    • 发布日期:2014-02-27
    • 文件大小:841728
    • 提供者:u013812505
  1. 数字电路设计实验(7个实验.ewb格式)

  2. 路灯控制电路/裁判表决器/用电控制/键盘编码电路/血型校验器/火车控制电路/病房呼叫系统的具体实验。内附说明。
  3. 所属分类:专业指导

    • 发布日期:2014-07-01
    • 文件大小:72704
    • 提供者:u011953517
  1. vhdl基本语法,及其实例

  2. 里面包含vhdl一些基本语法,并且有数十种简单的例程,比如组合逻辑、时序裸机、状态机(波形发生器、三人表决器、多路选择器、双向总线、三态总线、四D触发器等等)。希望对初学vhdl的人有点用处
  3. 所属分类:嵌入式

    • 发布日期:2015-01-25
    • 文件大小:53248
    • 提供者:cfxzy
  1. 《 Verilog HDL 程序设计教程》135例,源码

  2. 《 Verilog HDL 程序设计教程》135例; 。【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行
  3. 所属分类:硬件开发

    • 发布日期:2015-05-27
    • 文件大小:130048
    • 提供者:feng1o
  1. VHDL 实例程序,内有组合逻辑,时序逻辑,存储器举例,状态机举例等程序

  2. 多种VHDL实例程序,组合逻辑: 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 (注1) 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 汉明纠错吗编码器
  3. 所属分类:其它

    • 发布日期:2008-11-28
    • 文件大小:43008
    • 提供者:wbing3031
  1. 具体程序 VHDL程序实例

  2. 程序中主要包括: 最高优先级编码器 8位相等比较器 三人表决器 加法器描述 8位总线收发器 地址译码 多路选择器 LED七段译码 多路选择器 双2-4译码器 多路选择器 汉明纠错码编码器 双向总线 汉明纠错吗译码器 三态总线 时序逻辑: 四D触发器 用状态机实现的计数器 简单的锁存器 各种功能的计数器 简单的12位寄存器 通用寄存器 带load、clr等功能的寄存器 带三态输出的8位D寄存器 移位寄存器 存储器举例 状态机举例 一个简单的状态机 莫尔型状态机1 使用列举类型的状态机 莫尔型状态
  3. 所属分类:网络攻防

    • 发布日期:2009-02-25
    • 文件大小:20480
    • 提供者:rxiaolu
  1. nRF905无线模块使用说明书.pdf

  2. RFID • 近距离无线数据传输 • 无线数据采集 • 无线水表、煤气表、电力表抄表 • 工业遥控、遥测、工业数据采集 • 自动化数据采集系统 • 楼宇自动化、安防 • 机房设备无线监控 • 门禁系统 • POS系统,无线键盘、鼠标 • 无线表决器 • 科研院所、实验室数据通讯 • 家庭自动化数据网络组网
  3. 所属分类:网络基础

    • 发布日期:2009-03-27
    • 文件大小:190464
    • 提供者:form88
  1. verilog HDL经典实例135例

  2. 《Verilog HDL程序设计教程》程序例子,带说明。 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并
  3. 所属分类:嵌入式

    • 发布日期:2009-04-04
    • 文件大小:158720
    • 提供者:ljj0709
  1. 三人表决器multisim电路

  2. 这是一个multisim画的三人表决电路,学习数字电路的时候老师叫我们写的作业,有需要的小伙伴可以下载呀
  3. 所属分类:教育

    • 发布日期:2018-04-02
    • 文件大小:92160
    • 提供者:weixin_40973904
  1. 基于fpga的七人表决器

  2. 基于alter公司cycloneII开发平台下的VHDL编程,实现了七人表决的功能,七个按键分别对应七个人,当有人同意时,按键按下,置“1”,当有人不同意时按键挑起,置“0”,同时LED灯会随着对应的按键按下变亮或者熄灭,当同意认输超过3人时第一个LED灯点亮,表示表决通过,同时数码管一直会显示出同意的人数。
  3. 所属分类:其它

    • 发布日期:2018-06-21
    • 文件大小:343040
    • 提供者:qq_41382028
  1. EDA基础实验总结报告

  2. 山东大学信息学院EDA基础实验总结报告,内容包含实验1 4选1数据选择器的设计;实验2 四位比较器;实验3 并行加法器设计;实验4 七人表决器;实验5 计数器设计;实验6 巴克码发生器;实验8 交通灯信号控制器设计;
  3. 所属分类:其它

    • 发布日期:2018-10-21
    • 文件大小:678912
    • 提供者:qq_40499185
  1. 电路设计项目200个

  2. 1打印专用,4X4动态扫描键盘,4X4键盘,8人表决器,61A板电路原理图,300M射频遥控电路,555延时关灯,1820温度采集,2051流水灯,C2051红外遥控器,LED电子钟,PC红外遥控器,波形发生器,单片机编码-机器人,多功能定时器,高精度频率计,红外遥控电子钟,红外遥控数字钟,基于1302的万年历8951,交通灯,具有看门狗的单片机电机控制,声控延时灯,等等200个80C51等电路项目
  3. 所属分类:嵌入式

    • 发布日期:2018-10-22
    • 文件大小:14680064
    • 提供者:qq_19533277
  1. 5人表决器的代码(可运行)

  2. 实现5人表决,程序运行过的,可实现!超过三人输出为同意。
  3. 所属分类:硬件开发

    • 发布日期:2018-10-29
    • 文件大小:1024
    • 提供者:qq_38484516
« 1 2 3 4 5 6 78 »