您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 多功能数字钟----数字电路实验报告

  2. 多功能数字钟 【摘 要】数字钟是采用数字电路实现对时,分,秒。数字显示的计时装置,广泛用于个人家庭,车站, 码头办公室等公共场所,成为人们日常生活中不可少的必需品,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运运超过老式钟表, 钟表的数字化给人们生产生活带来了极大的方便而且大大地扩展了钟表原先的报时功能。因此,研究数字钟及扩大其应用,有着非常现实的意义。 数字钟计时的标准信号应该是频率相当稳定的1HZ秒脉冲,所以要设置标准时间源。 数字钟计时周期是24小时,因此必须设置
  3. 所属分类:专业指导

    • 发布日期:2009-05-18
    • 文件大小:698368
    • 提供者:jayzf0503
  1. (Multisim数电仿真指导)计数、译码和显示电路

  2. 教你怎么使用multisim做数电的仿真实验,mlitisim7,multisim8,multisim10都适用。
  3. 所属分类:嵌入式

    • 发布日期:2009-05-23
    • 文件大小:328704
    • 提供者:sillyboy5
  1. 多功能数字钟----数字电路实验报告

  2. 1、用中规模集成电路设计一个数字钟的计数,译码,显示电路。 2、设计六十进制的秒计数器和分计数电路。 3、时计数器采用二十四进制,从00开始计数到23后再回到00。 4、设计校时装置,能对时分秒分别校正。 5、设计整点报时电路。
  3. 所属分类:专业指导

    • 发布日期:2009-06-09
    • 文件大小:698368
    • 提供者:goodmanfreesky
  1. EDA 小实验程序全加器 ,数据选择器等等十个

  2. 带有详细实验内容如利用EWB软件设计一个“计数、译码、显示”电路,要求用集成电路芯片完成,计数器为12进制;显示用七段数码管。
  3. 所属分类:嵌入式

    • 发布日期:2009-06-18
    • 文件大小:118784
    • 提供者:rhfbipt
  1. 《数字电子技术》实 验 指 导 书

  2. 第一部分 数字电路实物实验 实验一 译码器、编码器和数据选择器 4 实验二 中规模集成电路的应用 13 实验三 触发器及其应用 15 实验四 集成异步计数器 21 实验五 555时基电路及其应用 23 第二部分 数字电路仿真实验 实验一 TTL门电路的逻辑变换 25 实验二 血型关系检测电路的设计 27 实验三 计数、译码和显示电路 29 实验四 脉冲边沿检测电路的分析与设计 31 实验五 交通控制器的设计 34 第三部分 附录 附录一 集成逻辑电路的连接和驱动 36 附录二 集成逻辑门电 路
  3. 所属分类:交通

    • 发布日期:2009-07-14
    • 文件大小:7340032
    • 提供者:yanxun123cool
  1. 数字式秒表设计+(课程设计)

  2. 基本RS触发器、脉冲发生器及计数、译码、显示等单元电路设计数字秒表
  3. 所属分类:专业指导

    • 发布日期:2009-10-11
    • 文件大小:248832
    • 提供者:wlyjack
  1. 实训七 计数、译码、显示电路 用十进制计数器、译码器、显示器组成一个十进制计数显示电路

  2. 实训七 计数、译码、显示电路 熟悉通用型十进制计数器、译码器、显示器的逻辑功能; 2.掌握计数器、译码器、显示器的应用。 二、实训内容 1.用十进制计数器、译码器、显示器组成一个十进制计数显示电路。
  3. 所属分类:专业指导

    • 发布日期:2009-12-20
    • 文件大小:2097152
    • 提供者:lhl8787
  1. 基于CT74LS161的数字钟及仿真

  2. 本报告用中规模集成电路实现了一个数字钟的计数、译码及显示电路,时计数器采用二十四进制,有校时装置。
  3. 所属分类:嵌入式

    • 发布日期:2010-04-24
    • 文件大小:704512
    • 提供者:duozaihunhou
  1. 彩灯控制器+设计报告………………

  2. 一、 课程设计目的 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计。通过本次课程设计要学会将一个实际情况抽象为逻辑电路的逻辑状态的方法,掌握计数、译码、显示综合电路的设计与调试, 掌握实际输出电路不同要求的实现方法。
  3. 所属分类:专业指导

    • 发布日期:2010-06-17
    • 文件大小:72704
    • 提供者:liuzuli520
  1. 用VHDL设计的计数显示电路

  2. 设计输出为3位BCD码的计数显示电路。由三个模块构成:十进制计数器(BCD_CNT)、分时总线切换电路(SCAN)和七段显示译码器电路(DEC_LED)。
  3. 所属分类:专业指导

    • 发布日期:2010-06-22
    • 文件大小:126976
    • 提供者:iddudumegaoyuan
  1. VHDL 数字显示电路

  2. VHDL 数字显示电路的设计1 用VHDL设计具有清除端、使能端,计数范围为0~999的计数器,输出为8421BCD码; 2.用VHDL设计十进制计数器(BCD_CNT)模块、七段显示译码器电路(BEC_LED)模块和分时总线切换电路(SCAN)模块。 3.用MAX+plusⅡ进行时序仿真
  3. 所属分类:专业指导

    • 发布日期:2011-05-25
    • 文件大小:267264
    • 提供者:xiyuemiao
  1. 计数和译码以及显示电路

  2. 用十进制计数器、译码器、显示器组成一个十进制计数显示电路。 熟悉通用型十进制计数器、译码器、显示器的逻辑功能; 掌握计数器、译码器、显示器的应用。
  3. 所属分类:嵌入式

    • 发布日期:2012-05-04
    • 文件大小:2097152
    • 提供者:huicc789
  1. 数电实验PPT

  2. 数电实验课件,关于计数、译码和显示电路的实验过程描述和设计方案。
  3. 所属分类:教育

    • 发布日期:2013-11-12
    • 文件大小:64512
    • 提供者:u012749137
  1. ewb multisim 仿真实例电路图全集

  2. 多年收集的ewb和multisim电子电路仿真实例文件,压缩后有50多兆。 文件列表 ├─仿真实验 │ 555.ms10 │ Circuit1.ms10 │ Circuit2.ms10 │ CLOCK.ms10 │ FileList.txt │ 实验2.ms10 │ 实验3-一阶有源低通滤电路.ms10 │ 实验3-减法运算电路.ms10 │ 实验3-反相加法运算电路.ms10 │ 实验3-反相比例运算电路.ms10 │ 实验3-反相积分运算电路.ms10 │ 实验3-微分运算电路.ms10
  3. 所属分类:专业指导

    • 发布日期:2015-10-21
    • 文件大小:55574528
    • 提供者:freedom366
  1. Ewb5.12电子电路仿真软件中文版含200实例及中文教程

  2. Ewb5.12电子电路仿真软件中文版含200实例及中文教程 文件 列表 │ 100进制递减计数器.ewb │ 14计数器子电路.ewb │ 16计算器.ewb │ 24或12进制加法计数.ewb │ 24或12进制加法计数子电路.ewb │ 2d限幅.ewb │ 2m振荡电路.ewb │ 4位加法器.ewb │ 50hz陷波器.ewb │ 555-1多谐振荡器.ewb │ 555fm电路.ewb │ 555单稳态电路.ewb │ 555多谐振荡电路.ewb │ 555定时报警器.ewb │ 5
  3. 所属分类:专业指导

    • 发布日期:2015-10-21
    • 文件大小:9437184
    • 提供者:freedom366
  1. 彩灯控制器 设计报告

  2. 电子系统设计 彩灯控制器现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计。通过本次课程设计要学会将一个实际情况抽象为逻辑电路的逻辑状态的方法,掌握计数、译码、显示综合电路的设计与调试, 掌握实际输出电路不同要求的实现方法
  3. 所属分类:专业指导

    • 发布日期:2008-12-25
    • 文件大小:74752
    • 提供者:hyyj7424950
  1. 实验7_计数、译码、显示综合实验

  2. 数电实验册实验7_计数、译码、显示综合实验答案
  3. 所属分类:数据库

    • 发布日期:2013-05-21
    • 文件大小:123904
    • 提供者:u010788279
  1. 南理工电工电子综合实验II

  2. 1、 设计实现信号源电路(f1=1Hz,f2=2Hz,f3=500Hz,f4=1KHz)。 2、 设计实现00分00秒~59分59秒数字计时器(计数、译码、显示)。 3、 设计实现快速校分电路(K1,2Hz,校分时秒停止,含防抖动功能)。 4、 设计实现可在任意时刻复位(K2)。 5、 设计实现整点报时电路(59分53秒、59分55秒、59分57秒【三低~f3】,59分59秒【一高~f4】)。 6、 整体完成00分00秒~59分59秒数字计时器电路。
  3. 所属分类:其它

    • 发布日期:2013-04-12
    • 文件大小:859136
    • 提供者:ntrgxy9208
  1. 基于压力传感器的测量与显示电路

  2. 设计一个简易汽车踏板压力测量仪。利用压力传感器将压力信号转化成电信号,再经过整形放大,利用V/F转换电路经计数译码电路对其进行显示。 二、技术指标 测量压力小于20千克; 测量误差小于2%; 显示精度为0.5。 三、设计要求 1.在选择器件时,应考虑成本,要求采用LED显示。 2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 4.完成电路电源的设计。
  3. 所属分类:专业指导

    • 发布日期:2010-12-22
    • 文件大小:911360
    • 提供者:wzx881015glj
  1. 显示/光电技术中的十进制计数显示器

  2. 十进制计数显示器是由强驱动CMOS集成电路与LED数码显示器有机结合而组成的功能模块,具有计数、寄存、译码驱动及LED显示四合一功能。其电路框图如图所示,其计数功能和控制功能分别见表及表。 图:十进制计数显示器电路框图表:十进制计数显示器计数功能表 表:十进制计数显示器控制功能表  
  3. 所属分类:其它

    • 发布日期:2020-11-22
    • 文件大小:86016
    • 提供者:weixin_38642897
« 12 3 4 5 6 7 »