您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第2858页

« 1 2 ... .53 .54 .55 .56 .57 2858.59 .60 .61 .62 .63 ... 3429 »

[电信] 直放站典型故障处理案例

说明: 直放站典型故障处理案例不错的学习资料,需要的赶紧了,本文档介绍了常见的直放站故障排查思路
<xiaoyan1019805> 在 上传 | 大小:386048

[电信] PLC布局

说明: PLC布局
<niezipan> 在 上传 | 大小:13312

[电信] 频谱与网络测量

说明: 频谱与网络测量,示波器 频谱仪 矢量网络分析仪 等原理
<zhulinlin822> 在 上传 | 大小:4194304

[电信] ICOM IC-R20写频软件及注册码

说明: http://icomcloning.narod.ru/免费的省的有人卖钱注册码:270201-001055
<luyu208208> 在 上传 | 大小:1048576

[电信] 数字信号处理--使用matlab.pdf )

说明: 数字信号处理 matlab学习好资料,帮助学生在数字信号处理中运用matlab。
<bobzhangbo> 在 上传 | 大小:6291456

[电信] 酷派手机解防盗锁

说明: 酷派智能手机防盗密码锁擦除工具,适用于酷派手机.
<mclzzg> 在 上传 | 大小:29696

[电信] 行人检测HOG特征计算程序

说明: 行人检测中计算hog特征,matlab代码,很好用。本人多次使用没有问题,得到的结果是高维向量。进一步送入SVM学习就可以了。
<xhunterh> 在 上传 | 大小:16384

[电信] 传感器的设计与应用

说明: 传感器的应用与设计 有设计各个课题和方法 有介绍传感器的
<pig010203> 在 上传 | 大小:506880

[电信] dsp学习课件

说明: 详细的数字信号处理教程学习讲解,包括DTFT,DFT,FFT,IIR滤波器设计,FIR滤波器设计等。
<zhufuguo1990> 在 上传 | 大小:8388608

[电信] PL2303原理图

说明: PL2303芯片资料,包括尺寸,功能,管脚图
<timatar> 在 上传 | 大小:21504

[电信] 伟福-6000-学单片机用

说明: 伟福6000用于程序的编写编译等。这个软件我目前在用。对与还在用串口的童鞋。还是很好的
<s00708> 在 上传 | 大小:7340032

[电信] 音频功率放大器设计方案

说明: 一个音频功率放大器的设计方案,大家评论一下就相当于免费下载了。这个音频功率放大器可以将麦克风的人声进行扩音,音质较好。
<wudi19900519> 在 上传 | 大小:3145728
« 1 2 ... .53 .54 .55 .56 .57 2858.59 .60 .61 .62 .63 ... 3429 »