您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第2860页

« 1 2 ... .55 .56 .57 .58 .59 2860.61 .62 .63 .64 .65 ... 3429 »

[电信] HDTune-v2.55H

说明: HDTune-v2.55H.rar
<esports52> 在 上传 | 大小:515072

[电信] PUSHMAIL 标准摘要

说明: 移动PUSHMAIL标准摘要
<glq_ht> 在 上传 | 大小:1048576

[电信] entlib1.1

说明: Database Authorization Provider1.1
<wqzj> 在 上传 | 大小:322560

[电信] 示波器基础

说明: 介绍了示波器的基础应用知识,包括分辨率,采样频率以及各种探头的应用
<whczy1314> 在 上传 | 大小:1048576

[电信] 电源完整性设计指导

说明: 本文介绍了利用cadence软件来进行板级电源完整性分析与设计指导。
<liuhui19841225> 在 上传 | 大小:1048576

[电信] 电信开放平台 API

说明: 短信API 彩信API 语音API IVR API 短信接口 彩信接口 语音接口 IVR接口电信开放平台
<cjchssz> 在 上传 | 大小:1048576

[电信] 手机备份短信详细过程.doc

说明: 教你怎么把短信备份到电脑。永久保存起来。方便以后查阅。。
<kingvenll> 在 上传 | 大小:39936

[电信] 菅标可遥控网络电视

说明: 菅标可遥控网络电视
<nkyrr> 在 上传 | 大小:4194304

[电信] MATLAB常用工具箱及常用函数

说明: 其实你也可以利用matlab强大的帮助功能,不过全英的看起来毕竟有些不方便
<wuweiziqian> 在 上传 | 大小:71680

[电信] PLC练习编程

说明: 一些个人的PLC练习编程,有交通灯,电机启动等等,仅供参考
<jjrr1414> 在 上传 | 大小:8192

[电信] 六类水晶头制作方法

说明: 六类水晶头制作方法
<azaz0211> 在 上传 | 大小:263168

[电信] AFD定义 S2016-1-2007

说明: MXF中AFD定义,标准文档 AFD S2016-1-2007
<bobbywufeng> 在 上传 | 大小:200704
« 1 2 ... .55 .56 .57 .58 .59 2860.61 .62 .63 .64 .65 ... 3429 »