您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第2861页

« 1 2 ... .56 .57 .58 .59 .60 2861.62 .63 .64 .65 .66 ... 3429 »

[电信] 高速pcb设计

说明: 高速pcb设计指南 在PCB设计中,布线是完成产品设计的重要步骤,可以说前面的准备工作都是为它而做的, 在整个PCB中,以布线的设计过程限定最高,技巧最细、工作量最大。本文档详细介绍了高速pcb设计过程中应该注意的问题,以及一些设计技巧 是您工作中必不可少的良师益友
<fsjiaminghui> 在 上传 | 大小:212992

[电信] TI滤波器软件

说明: TI有关滤波器设计的软件,不用购买,直接可以安装
<shoppingtzp> 在 上传 | 大小:11534336

[电信] 最全面最权威的锁相环PLL原理与应用资料

说明: 关于锁相环PLL原理与应用资料锁——相环(PLL)电路设计与应用(日)远坂俊昭——还有一个软件
<xuzhanghou> 在 上传 | 大小:19922944

[电信] 灰度图像实现灰度拉伸功能

说明: 这个函数提供灰度拉伸功能,输入图像应当是灰度图像,但如果提供的不是灰度 图像的话,函数会自动将图像转化为灰度形式。x1,x2,y1,y2应当使用双精度 类型存储,图像矩阵可以使用任何MATLAB支持的类型存储。
<abedg> 在 上传 | 大小:3072

[电信] 数电74ls148实现32-5先编码器

说明: 本资源是我从数据库找到,花钱下载下来的,为了方便需要的人查阅,上传供大家参考。
<kevindeng369> 在 上传 | 大小:190464

[电信] 完整的电池充电器设计方案

说明: 电力电子行业的电池充电器设计方案,是电力电子初学者的必备资料之一
<bbsvs2000> 在 上传 | 大小:710656

[电信] Chaos Communications—Principles, Schemes, and System Analysis

说明: 混沌通信,关于原理、结构、系统分析,英文版。不长,20页
<commanderkiller> 在 上传 | 大小:577536

[电信] sip reload

说明: 经常对Asterisk进行重新加载,使用命令手动操作,太麻烦了! 编写脚本做成Job,循环重新加载,保证Asterisk使用最新配置.
<okhelp> 在 上传 | 大小:176

[电信] 《现代通信原理与技术概论》

说明: 《现代通信原理与技术概论》
<sacthy> 在 上传 | 大小:2097152

[电信] 数据仓库工具箱:维度建模的完全指南

说明: 数据仓库工具箱:维度建模的完全指南 == 数据仓库工具箱:维度建模的完全指南
<wengr> 在 上传 | 大小:20971520

[电信] DL/T868 协议

说明: 电力行业规范DL/T688电力信息化 1999
<grace_li_good> 在 上传 | 大小:713728

[电信] 华为技术-BICC语音呼叫培训清晰PDF

说明: 第一章功能介绍 第二章消息结构 第三章基本过程 第四章数据配置
<ll6287136liu> 在 上传 | 大小:1048576
« 1 2 ... .56 .57 .58 .59 .60 2861.62 .63 .64 .65 .66 ... 3429 »